- Advancements in Photolithography Techniques
- Integrated Circuits and Semiconductor Failure Analysis
- Surface Roughness and Optical Measurements
- Electron and X-Ray Spectroscopy Techniques
- Industrial Vision Systems and Defect Detection
- Optical Coatings and Gratings
- Advanced Surface Polishing Techniques
- Advanced Measurement and Metrology Techniques
- Advanced Algebra and Geometry
- Advanced optical system design
- Nanofabrication and Lithography Techniques
- Image Processing Techniques and Applications
- Welding Techniques and Residual Stresses
- Algebraic Geometry and Number Theory
- 3D IC and TSV technologies
- Homotopy and Cohomology in Algebraic Topology
- Silicon and Solar Cell Technologies
- Nonlinear Waves and Solitons
- Advanced Topics in Algebra
- Algebraic structures and combinatorial models
- Optical Systems and Laser Technology
- VLSI and Analog Circuit Testing
- Semiconductor materials and devices
- Advanced Measurement and Detection Methods
- Optical Polarization and Ellipsometry
University of Edinburgh
2022-2024
Kookmin University
2018
Samsung (South Korea)
2002-2012
Trust is the key ingredient for sustainable transactions. In concept of trust, trustor trusts trustees. e-commerce, buyer and trustees are intermediaries seller. Intermediaries provide web-based infrastructure that enables buyers sellers to make buyer’s judgment comprises two distinct concepts; both trust distrust reside in trustor. The purpose this study was examine complicated effects on a purchase intentions. Previous studies have provided theoretical frameworks illustrating co-existent...
A new framework has been developed to model 3D thick mask effects for full-chip OPC and verifications. In addition electromagnetic (EM) scattering effects, the also takes into account non-Hopkins oblique incidence commonly found in real lithography systems but missing prior arts. Evaluations against rigorous simulations experimental data showed provides improved accuracy, compared both thin-mask thick-mask based on Hopkins treatment of incidence.
The introduction of microplastics (MPs) into water environments can be broadly categorized non-point sources, including tire fragments, industrial paint, and dust, where the source pollution is unidentifiable, point occurring in identifiable locations such as homes or factories. Hazardous substances stemming from these diverse pollutants accumulate rainwater chambers along combined sewage conduits. Public treatment plants collect MPs rainfall-runoff domestic through pipes. This study...
Implant layer patterning is becoming challenging with node shrink due to decreasing critical dimension (CD) and usage of non-uniform reflective substrates without bottom anti-reflection coating (BARC). Conventional OPC models are calibrated on a uniform silicon substrate the model does not consider any wafer topography proximity effects from sub-layers. So existing planar cannot predict sub-layer such as reflection scattering light interfaces. This insufficient for layers BARC, e.g., implant...
According to the 2006 International Technology Roadmap for Semiconductors, overlay budget of 60nm memory devices is 11nm. To meet such a tight requirement, total error should be controlled carefully. There are many ways analyze budget; here, however, simple but accurate methodology introduced. In this study, consists four major contribution categories: scanner, process, metrology, and mask contributions. Scanner contributions evaluated by measuring machine-to-machine errors in conventional...
Line edge and line width roughness (LER/LWR) is commonly estimated by standard deviation sigma. Since the a function of sample length L, behavior sigma(L) curve characterized correlation exponent. In this paper, an efficient practical macro LER/LWR analysis implemented characterizing arbitrary array similar features within single CD-SEM image. A large amount statistical data saved from scan As result, it reports full information including length, exponent, sigma at infinite power spectrum....
As the overlay specification decreases drastically, it is necessary to consider how total influenced by each contributing factor. In particular, expected that contribution on error budget can be quantitatively analyzed in terms of correlation among registration errors reticle. The reticle about 25% assessed breakdown sources metrology uncertainty through double exposure technique (DET) process. A positive around 0.7 mitigates 180%, compared uncorrelated case. both DET and patterning (DPT)...
Various pupil-fill measurement techniques are evaluated to monitor non-telecentricity of an illuminator as followings: transmission image sensor (TIS) ASML, source metrology instrument (SMI) Litel, Fresnel zone plate (FZP) Philips, and technique using traditional overlay marks, which is based on idea that pattern shift proportional the amount defocus. Based aerial simulation with measured non-telecentricity, its effect sub-70 nm device patterning discussed. Experimental data shows some...
Boundary Layer Model (BLM) is applied to OPC for typical memory-device patterning processes 3D mask topographic effect. It observed that this BLM successfully accounts the effect as reducing model error down sub-50 nm node. improves OPC-modeling accuracy depending on specific process conditions such type and pattern geometry. Potential limit of BLM, i.e., how accurately could predict also investigated with respect CD change: compared rigorous simulation various features a good match obtained...
A theoretical model of confocal microscopy phase defect in EUV mask blank is developed using Fourier optics and rigorous coupled-wave analysis(RCWA). This verified by comparison with experimental data then applied to calculating signal conformal flat surface for wavelength 488 nm 266 nm, respectively. From this simulation, it shown that undetectable even nm-wavelength microscope, while printable at 13.5 nm-wavelength. Subsequent simulation energy flow rate through Mo/Si multilayer shows...
Photolithography for the formerly "non-critical" implant blocking layers is becoming more challenging as edge placement control budgets junction definition shrink with each node. In addition to traditional proximity effects associated layer mask, underlying active and gate can interact through a variety of mechanisms influence developed layer. These include bulk reflectivity differences, resist thickness thin film interference effects, reflective notching from pattern sidewalls, reflections...
In double-patterning technology (DPT), we study the complex interactions of layout creation, physical design and rule checking flows for 22nm 16nm device nodes. Decomposition includes cutting (splitting) original design-intent features into new overlapping polygons where required; coloring all resulting two mask layouts. We discuss advantages geometric distribution polygon operations with limited range influence. Further, find that even naturally global step can be handled in a geometrically...
We prove that the pure part of cohomology ring moduli space irregular $\underline{\xi}$-parabolic Higgs bundles is generated by K\"{u}nneth components Chern classes a universal bundle and successive quotients flag subbundles. As an application, in regular full-flag case, we demonstrate similar result for spaces parabolic strongly bundles.
We prove a duality between the graded pieces of irregular Hodge filtration on twisted cohomology for large class Clarke dual pairs Landau--Ginzburg models. This results is reminiscent work Batyrev and Borisov, in fact recovers Batyrev-Borisov Krawitz, proves generalization conjecture Katzarkov-Kontsevich-Pantev orbifold toric complete intersections with nef anticanonical divisors. Finally we show that one can extract versions number log Calabi-Yau intersections, certain singular mirror...
Abstract Mirror symmetry for a semistable degeneration of Calabi–Yau manifold was first investigated by Doran–Harder–Thompson when the degenerate fiber is union two quasi-Fano manifolds. They proposed topological construction mirror gluing Landau–Ginzburg models that are to those Fano We extend this general type where dual boundary complex standard N -simplex. Since each component in comes with simple normal crossing anticanonical divisor, one needs notion hybrid model – multipotential...
Maximum exposure latitude (EL), mask error enhancement factor (MEEF), and intrafield critical dimension (CD) uniformity are compared in TE unpolarized (UNP) light. An ArF dry scanner with 0.85 numerical aperture dipole illumination inner outer sigmas of 0.93 0.69 is used. 63 73nm nodes chosen which have 126 146nm pitch sizes Target CDs 73nm. shows 56% 10% larger maximum EL than UNP for nodes, respectively. increased IFU at the 63nm node. MEEFs 3.4 4.1 light node, 1.4 1.5 observed Mask...
An illuminator and mask patterns were optimized (SMO) to minimize CD variation of a set contact selected from logic layouts an array SRAM cells. MEEF defocus characteristics the target modeled as functions constraints on minimum features spaces (MRC). This process was then repeated after linearly shrinking input by 10%. Common statistical measures control worsen MRC becomes more restrictive, but these are weak indicators compared behavior at points in image that exhibit high or low depth...
Current metal integration process normally uses hard mask for dry etch instead of resist to compensate thin thickness. As the pattern size becomes smaller, thinner thickness is required get sufficient lithography window. But this trend increases a risk systematic defect like line bridge in damascene because consumption dielectric material during process. The sub-32nm patterning with single exposure almost on edge 193nm immersion lithography. smaller CD makes aerial image contrast worse,...
Bake process of photo resist above glass transition temperature (Tg) increases its fluidity and shrinks contact holes patterned on the wafer. This enables us to define sub-0.2 micrometers hole pattern with KrF, which is one major issues sub-0.15 device technology. However, amount PR flow depends size, density environment, makes it difficult control fine critical dimension (CD) variation. In this paper, new approach overcome difficulties studied acetal type attenuated phase shift mask (att....
As device production is performed towards limits of k1 process, many issues are caused by lowering value, which has been considered negligible at higher value. Among these passed-over problems, illumination control error such as non-telecentricity currently investigated in-depth. Comparing with projection lens, system not well verified and the amount aberration quite larger. Consequently, pupil-fill different shape along field position resulting in in-field distribution a degree, may lead to...
As DRAM (Dynamic Random Access Memory) device continuously decreases in chip size, an increased speed and more accurate metrology technique is needed to measure CD (critical dimension), film thickness vertical profile. Scatterometry optical based on the analysis of scattered (or diffracted) light from periodic line space grating uses 2θ angular method (ACCENT Optical Technologies CDS-200). When a source irradiated into pattern, intensity signal zero-th order as function incident angle...
The double-patterning process was investigated for line-and-space (L/S) patterns of 65 nm half pitch [k1=0.286, 0.85-numerical aperture (NA) ArF dry system] by plasma treatment photoresist (PR). sequence this patterning is exposure–plasma treatment–exposure–etching. Si thin-film passivation and HBr (HPT) were applied, preferred to HPT in terms intermixing prevention etch selectivity. For planarization the topographic surface, a thick bottom PR coated on pattern after first exposure. enabled...
Chemically amplified resist materials are now available to reach critical dimensions of the pattern close 32 nm values. Pattern collapse is a very serious problem in fine patterning less than dimension, because it decreases yield. The response unbalanced capillary forces acting on walls during spinning drying step after development process. Centrifugal force has not considered for modeling up now, so that due studied. In this study we investigate node mechanism with radial distance and rinse...
Optical proximity correction (OPC) of contact-hole printing is challenging since its two dimensional shapes requires through understanding lithographic processes compared to one line and space pattering. Moreover, recently, it common use "elongated contact holes" with large area, rather than simple circular ones, for small electrical resistance. These elongated holes make even more difficult generate a good OPC model the ones because patterning causes asymmetric process effects. For example,...