Joost Bekaert

ORCID: 0000-0003-3075-3479
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • Advancements in Photolithography Techniques
  • Integrated Circuits and Semiconductor Failure Analysis
  • Electron and X-Ray Spectroscopy Techniques
  • Magnetic properties of thin films
  • Nanofabrication and Lithography Techniques
  • Block Copolymer Self-Assembly
  • Physics of Superconductivity and Magnetism
  • 3D IC and TSV technologies
  • Industrial Vision Systems and Defect Detection
  • Advanced Surface Polishing Techniques
  • Surface and Thin Film Phenomena
  • Optical Coatings and Gratings
  • Semiconductor materials and devices
  • Quantum and electron transport phenomena
  • Advanced Measurement and Metrology Techniques
  • Modular Robots and Swarm Intelligence
  • Advanced optical system design
  • VLSI and Analog Circuit Testing
  • Copper Interconnects and Reliability
  • Semiconductor Quantum Structures and Devices
  • Characterization and Applications of Magnetic Nanoparticles
  • Force Microscopy Techniques and Applications
  • Surface Roughness and Optical Measurements
  • Ferroelectric and Negative Capacitance Devices
  • Anodic Oxide Films and Nanostructures

IMEC
2016-2025

KU Leuven
1999-2014

Imec the Netherlands
2004-2010

Laboratoire de physique des Solides
2004

In recent years major advancements have been made in the directed self-assembly (DSA) of block copolymers (BCP). Insertion DSA for IC fabrication is seriously considered 7nm node. At this node technology could alleviate costs double patterning and limit number masks that would be required per layer. imec multiple approaches inserting into are considered. One most straightforward implementation via through templated (grapho-epitaxy), since hole patterns readily accessible cylindrical phase...

10.1117/12.2086090 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2015-03-19

A scanning Hall probe microscope is used to study flux pinning in a thin superconducting Pb film covering square array of single-domain Co dots with in-plane magnetization. We show that single quanta opposite sign thread the below T(c) at poles these dipoles. Depending on polarity applied field, lines are attracted specific pole dipoles, due direct interaction vortexlike structures induced by local stray field.

10.1103/physrevlett.86.155 article EN Physical Review Letters 2001-01-01

Directed self assembly has become a very attractive technology for Fin and contact/via applications. Some of the issues related to pattern placement error, defectivity rates process integration are actively being addressed by industry have not faced significant roadblocks contact-hole While many DSA applications been proposed, deploying structures competes in cost variability control with SADP techniques. Given 1D nature find structures, it is difficult fin accuracy better than 4nm 3 sigma....

10.1117/12.2065508 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2014-10-17

This paper describes the principle and performance of FlexRay, a fully programmable illuminator for high NA immersion systems. Sources can be generated on demand, by manipulating an array mirrors instead traditional way inserting optical elements changing lens positions. On demand (freeform) source availability allows reduction in R&D cycle time shrink k1. Unlimited tuning better machine to matching. FlexRay has been integrated 1.35NA TWINSCAN exposure system. We will present data using...

10.1117/12.845984 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2010-03-02

A high resolution scanning Hall probe microscope has been used to investigate flux line dynamics and pinning phenomena in a thin Pb film containing square array of artificial sites (antidots). We observe directly that maximum two quanta can be pinned at single antidot low temperatures (5.5 K), reasonable agreement with theoretical predictions. Using the measure ``local magnetization'' as function applied field, well image structures same sample, allows us correlate data sets. Peaks local...

10.1103/physrevb.63.052504 article EN Physical review. B, Condensed matter 2001-01-11

A high-resolution scanning Hall probe microscope was used as a noninvasive technique to visualize the magnetization reversal in an array of micron-size Co rings. Two stable “onion” states at remanence and “vortex” switching fields were found. To rule out possible influence dipole–dipole interaction between ring elements on remagnetization processes, isolated deposited top magnetometer extremely sharp transitions from onion vortex state opposite polarity resolved. Our results supported by...

10.1063/1.1518564 article EN Applied Physics Letters 2002-10-24

Double patterning technology (DPT) is a promising technique that bridges the anticipated gap from use of 193nm immersion to EUV for half-pitch device node beyond 45nm. The intended mask pattern formed by two independent steps. Using DPT, there no optical imaging correlation between separate steps except impact overlay. In each single exposure step, we can relax dense design pitches decomposing them into half-dense ones. This allows higher k<sub>1</sub> factor step. With combined patterns,...

10.1117/12.692921 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2006-10-06

A strong demand exists for techniques that extend application of ArF immersion lithography. Besides such as litho-friendly design, dual exposure/patterning schemes, customized illumination, alternative processing schemes are also viable candidates. One the most promising flows uses image reversal by means a negative tone development (NTD) step with Fujifilm solvent-based developer. Traditionally, contact and trench printing dark-field mask in combination positive resist development. With...

10.1117/1.3524829 article EN Journal of Micro/Nanolithography MEMS and MOEMS 2010-10-01

The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and 32 pitch for the subsequent M2 layer. With these pitches, iN7 node an 'aggressive' full-scaled N7, corresponding IDM or foundry N5. Even in 1D style, single exposure 16 half-pitch layer very challenging lithography, because its tight tip-to-tip configurations. Therefore, industry considering hybrid use ArFi-based SAQP...

10.1117/12.2258004 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2017-03-24

An increased interest to stitching for High NA EUVL is observed; this driven by expected higher demand of larger size chips various applications. In the past a recommendation was published [1] have 1-5 um band where no critical structures layer would be allowed. [2], we introduced new insights on at-resolution stitching. publication, present experimental results obtained NXE:3400B scanner. showed NXE feasibility vertical lines and contact holes at relaxed resolution (40-48 nm pitch) in...

10.1117/12.2658511 article EN 2023-04-28

The dependence of the vortex penetration and expulsion on geometry mesoscopic superconductors is reported. Hall magnetometry measurements were performed a superconducting $\mathrm{Al}$ square triangle. stability patterns imposed by sample discussed. field-temperature $H\text{\ensuremath{-}}T$ diagram has been reconstructed showing transitions between states with different vorticity. We have found that only weakly affected configuration inside while strongly controlled patterns. A qualitative...

10.1103/physrevb.70.094503 article EN Physical Review B 2004-09-13

A strong demand exists for techniques that can further extend the application of ArF immersion lithography. Besides like litho-friendly design, dual exposure or patterning schemes, customized illumination modes, also alternative processing schemes are viable candidates to reach this goal. One most promising process flows uses image reversal by means a negative tone development (NTD) step with FUJIFILM solvent-based developer. Traditionally, printing contacts and trenches is done using dark...

10.1117/12.848228 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2010-03-06

The use of customized illumination modes is part the pursuit to stretch applicability immersion ArF lithography. Indeed, a specific source shape that optimized for particular design leads enhanced imaging results. Recently, freeform has become available through pixelated DOEs or FlexRay<sup>TM</sup>, ASML's programmable illuminator system, allowing virtually unconstrained intensity distribution within pupil. In this paper, benefit over traditional evaluated, by applying mask co-optimization...

10.1117/12.846918 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2010-02-19

This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho etch, variability was characterized both with conventional CD-SEM measurements as well Hitachi contouring method. After analyzing patterning layers, impact potential interconnect reliability studied by using MonteCarlo process emulation simulations to determine if current litho/etch...

10.1117/12.2258005 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2017-03-24

Significant interest from the integrated circuit (IC) industry has been placed on directed selfassembly (DSA) for sub 10nm nodes. DSA is being considered as a cost reduction complementary process to multiple patterning (MP) and an enabler of new technology However, realize potential this technology, it essential look holistically at necessary infrastructure point view materials, hardware, software, integration design methodologies which enable its deployment in large volume manufacturing....

10.1117/12.2069188 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2014-10-08

We show, in simulation and by wafer exposures, how to improve an EUV Single Exposure Metal direct print at NA 0.33. Based on a fundamental understanding of Mask 3D effects, we show design pupil conjunction with induced aberrations cure the M3D phase effects. For L/S through pitch, increase NILS/exposure latitude ~10%, reduce best focus range two thirds, Bossung tilts. Simultaneously, tip-to-tip (T2T) CD 1-4nm constant exposure LCDU. In EUV, effects lead modulation diffracted orders. This...

10.1117/12.2537104 article EN 2019-09-26

A graphoepitaxy directed self-assembly process using cylindrical phase block copolymers is regarded as a promising approach for patterning irregularly distributed contact holes in future integrated circuits. However, control over cylinder profile and open hole rate, among others, needs to be proven before this technique can implemented device fabrication. Computational simulation studies predict that selective the surface energy of template bottom sidewall crucial achieving perpendicular...

10.1116/1.4929884 article EN Journal of Vacuum Science & Technology B Nanotechnology and Microelectronics Materials Processing Measurement and Phenomena 2015-09-01

In recent years, major advancements have been made in the directed self-assembly (DSA) of block copolymers (BCP). Insertion DSA for IC fabrication is seriously considered 7 nm node. At this node technology could alleviate costs multiple patterning and limit number masks that would be required per layer. imec, approaches inserting into are considered. One most straightforward implementation via through templated DSA; a grapho-epitaxy flow using cylindrical phase BCP material resulting contact...

10.1117/12.2196524 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2015-07-09

A negative tone development (NTD) process benefits from the superior imaging performance obtained with light field (LF) masks to print metal and contact layers, resulting in improved window. In this paper, we introduce an inverse Mack model simulate NTD validate its advantage. Based on model, a resist calibration has been carried out results are presented. Various application cases have studied prediction capabilities of simulations demonstrated: 1) LF+NTD helps achieve broader pitch range...

10.1117/12.880949 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2011-03-08

Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns how make chip designs DSA-friendly. program at imec includes efforts on these three major topics. Specifically, this paper the progress setting up flows templated within will discussed. A...

10.1117/12.2047266 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2014-03-27

The integration of a three-layer BEOL process which includes an intermediate 21 nm pitch level, relevant for the 3 technology node, is demonstrated. A full barrier-less Ruthenium (Ru) dual-damascene (DD) metallization allowed to test different dimensions minimum island, via extension and tip-to-tip (T2T). Five-track place route (PNR) SRAM constructions were realized with self-aligned block (SAB) technique. Stacked vias showed resistance modulation size island due change in chamfer. High...

10.1109/iedm19573.2019.8993538 article EN 2021 IEEE International Electron Devices Meeting (IEDM) 2019-12-01
Coming Soon ...