Dmitry Ponomarev

ORCID: 0009-0006-5656-2258
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • Parallel Computing and Optimization Techniques
  • Low-power high-performance VLSI design
  • Advanced Data Storage Technologies
  • Interconnection Networks and Systems
  • Embedded Systems Design Techniques
  • Neutrino Physics Research
  • Particle physics theoretical and experimental studies
  • Dark Matter and Cosmic Phenomena
  • Radiation Detection and Scintillator Technologies
  • Advanced Malware Detection Techniques
  • Astrophysics and Cosmic Phenomena
  • Security and Verification in Computing
  • Distributed systems and fault tolerance
  • Physical Unclonable Functions (PUFs) and Hardware Security
  • Atomic and Subatomic Physics Research
  • Particle Detector Development and Performance
  • Nuclear Physics and Applications
  • Adversarial Robustness in Machine Learning
  • Distributed and Parallel Computing Systems
  • Ferroelectric and Negative Capacitance Devices
  • Real-Time Systems Scheduling
  • Cloud Computing and Resource Management
  • Network Security and Intrusion Detection
  • Nuclear reactor physics and engineering
  • Data Management and Algorithms

Joint Institute for Nuclear Research
2015-2024

Binghamton University
2010-2024

All Russia Research Institute of Automatics
2023-2024

P.N. Lebedev Physical Institute of the Russian Academy of Sciences
2019-2024

Moscow Institute of Physics and Technology
2024

Dubna State University
2024

Moscow Engineering Physics Institute
2010-2024

University of California, Riverside
2024

Centre National de la Recherche Scientifique
2023

Université Claude Bernard Lyon 1
2023

DANSS is a highly segmented 1~m${}^3$ plastic scintillator detector. Its 2500 one meter long strips have Gd-loaded reflective cover. The detector placed under an industrial 3.1~$\mathrm{GW_{th}}$ reactor of the Kalinin Nuclear Power Plant 350~km NW from Moscow. distance to core varied on-line 10.7~m 12.7~m. building provides about 50~m water-equivalent shielding against cosmic background. detects almost 5000 $\widetilde\nu_e$ per day at closest position with background less than 3$\%$....

10.1016/j.physletb.2018.10.038 article EN cc-by Physics Letters B 2018-10-23

Security exploits and ensuant malware pose an increasing challenge to computing systems as the variety complexity of attacks continue increase. In response, software-based detection tools have grown in complexity, thus making it computationally difficult use them protect real-time. Therefore, software detectors are applied selectively at a low frequency, creating opportunities for remain undetected. this paper, we propose Malware-Aware Processors (MAP) - processors augmented with online...

10.1109/hpca.2015.7056070 article EN 2015-02-01

The DANSS project is aimed at creating a relatively compact neutrino spectrometer which does not contain any flammable or other dangerous liquids and may therefore be located very close to the core of an industrial power reactor. As result, it expected that high flux would provide about 15,000 IBD interactions per day in detector with sensitive volume 1 m3. High segmentation plastic scintillator will allow suppress background down ∼1% level. Numerous tests performed simplified pilot...

10.1088/1748-0221/11/11/p11011 article EN Journal of Instrumentation 2016-11-21

Security exploits and ensuant malware pose an increasing challenge to computing systems as the variety complexity of attacks continue increase. In response, software-based detection tools have grown in complexity, thus making it computationally difficult use them protect real-time. Therefore, software detectors are applied selectively at a low frequency, creating opportunities for remain undetected. this paper, we propose Malware-Aware Processors (MAP) - processors augmented with...

10.1109/tc.2016.2540634 article EN IEEE Transactions on Computers 2016-03-10

The one-size-fits-all philosophy used for permanently allocating datapath resources in today's superscalar CPUs to maximize performance across a wide range of applications results the overcommitment general. To reduce power dissipation datapath, resource allocations can be dynamically adjusted based on demands applications. We propose mechanism dynamically, simultaneously and independently adjust sizes issue queue (IQ), reorder buffer (ROB) load/store (LSQ) periodic sampling their...

10.5555/563998.564011 article EN International Symposium on Microarchitecture 2001-12-01

Modern superscalar processors implement precise interrupts by using the Reorder Buffer (ROB). In some microarchitectures , such as Intel P6, ROB also serves a repository for uncommitted results. these designs, is complex multi-ported structure that dissipates significant percentage of overall chip power. Recently, mechanism was introduced reducing complexity and its power dissipation through complete elimination read ports reading out source operands. The resulting performance degradation...

10.1145/871506.871564 article EN 2003-01-01

Covert channels present serious security threat because they allow secret communication between two malicious processes even if the system inhibits direct communication. We describe, implement and quantify a new covert channel through shared hardware random number generation (RNG) module that is available on modern processors. demonstrate reliable, high-capacity low-error can be created RNG works across CPU cores virtual machines. capacity of under different settings show transmission rates...

10.1145/2976749.2978374 article EN Proceedings of the 2022 ACM SIGSAC Conference on Computer and Communications Security 2016-10-24

Hardware Malware Detectors (HMDs) have recently been proposed as a defense against the proliferation of malware. These detectors use low-level features, that can be collected by hardware performance monitoring units on modern CPUs to detect malware computational anomaly. Several aspects detector construction explored, leading with high accuracy. In this paper, we explore question how well evasive avoid detection HMDs. We show existing HMDs effectively reverse-engineered and subsequently...

10.1145/3123939.3123972 article EN 2017-10-14

The $\ensuremath{\nu}\mathrm{GeN}$ experiment is aimed to investigate neutrino properties using antineutrinos from the reactor of Kalinin Nuclear Power Plant. experimental setup located at about 11 meters center 3.1 ${\mathrm{GW}}_{\mathrm{th}}$ core. Scattering detected with low energy threshold high purity germanium detector. Passive and active shieldings are used suppress all kinds backgrounds coming surrounding materials cosmic radiation. description together first results presented....

10.1103/physrevd.106.l051101 article EN Physical review. D/Physical review. D. 2022-09-08

Abstract The future Ricochet experiment aims at searching for new physics in the electroweak sector by providing a high precision measurement of Coherent Elastic Neutrino-Nucleus Scattering (CENNS) process down to sub-100 eV nuclear recoil energy range. will deploy kg-scale low-energy-threshold detector array combining Ge and Zn target crystals 8.8 m away from 58 MW research reactor core Institut Laue Langevin (ILL) Grenoble, France. Currently, Collaboration is characterizing backgrounds its...

10.1140/epjc/s10052-022-11150-x article EN cc-by The European Physical Journal C 2023-01-14

Abstract The future Ricochet experiment aims to search for new physics in the electroweak sector by measuring Coherent Elastic Neutrino-Nucleus Scattering process from reactor antineutrinos with high precision down sub-100 eV nuclear recoil energy range. While collaboration is currently building experimental setup at site, it also finalizing cryogenic detector arrays that will be integrated into cryostat Institut Laue Langevin early 2024. In this paper, we report on recent progress Ge...

10.1140/epjc/s10052-024-12433-1 article EN cc-by The European Physical Journal C 2024-02-24

A large percentage of computed results have fewer significant bits compared to the full width a register. We exploit this fact pack multiple into single physical register reduce pressure on file in superscalar processor. Two schemes for dynamically packing "narrow-width" partitions within are evaluated. The first scheme is conservative and allocates full-width result. If result turns out be narrow, reallocated common register, freeing up second based prediction reallocates when actual higher...

10.1109/micro.2004.29 article EN 2005-12-13

Hardware-based malware detectors (HMDs) are a promising new approach to defend against malware. HMDs collect low-level architectural features and use them classify from normal programs. With simple hardware support, can be always on, operating as first line of defense that prioritizes the application more expensive accurate software-detector. In this paper, our goal is increase accuracy HMDs, improve detection, reduce overhead. We specialized targeted towards specific type detection each...

10.1109/tdsc.2018.2801858 article EN publisher-specific-oa IEEE Transactions on Dependable and Secure Computing 2018-02-05

The "one-size-fits-all" philosophy used for permanently allocating datapath resources in today's superscalar CPUs to maximize performance across a wide range of applications results the overcommitment general. To reduce power dissipation datapath, resource allocations can be dynamically adjusted based on demands applications. We propose mechanism dynamically, simultaneously and independently adjust sizes issue queue (IQ), reorder buffer (ROB) load/store (LSQ) periodic sampling their...

10.1109/micro.2001.991108 article EN 2005-08-24

Modern superscalar microprocessors need sizable register files to support large number of in-flight instructions for exploiting ILP. An alternative building is use smaller registers, but manage them more effectively. More efficient management registers can also result in higher performance if the reduction file size not goal. Traditional mechanisms deallocate a physical only when next instruction with same destination architectural commits. We propose two complementary techniques...

10.1109/iccd.2004.1347965 article EN 2004-11-08

The out-of-order issue queue (IQ), used in modern superscalar processors is a considerable source of energy dissipation. We consider design alternatives that result significant reductions the power dissipation IQ (by as much 75%) through use comparators dissipate mainly on tag match, 0-B encoding operands to imply presence bytes with all zeros and, bitline segmentation. Our results are validated by execution SPEC 95 benchmarks true hardware level, cycle-by-cycle simulator for processor and...

10.1109/tvlsi.2003.814321 article EN IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2003-10-01

Modern superscalar datapaths use aggressive execution reordering to exploit instruction-level parallelism. Comparators, either explicit or embedded into content-addressable logic, are used extensively throughout such designs implement several key out-of-order mechanisms and support the memory hierarchy. The traditional comparator dissipate energy on a mismatch in any bit position. As mismatches occur with much higher frequency than matches many situations, considerable improvements...

10.1109/tc.2004.29 article EN IEEE Transactions on Computers 2004-07-01

Hardware Malware Detectors (HMDs) have recently been proposed to make systems more malware-resistant. HMDs use hardware features detect malware as a computational anomaly. Several aspects of the detector construction explored, leading detectors with high accuracy. In this article, we explore whether developers can modify avoid detection. We show that existing be effectively reverse-engineered and subsequently evaded. Next, retraining using evasive would help is limited. To address these...

10.1109/tc.2021.3068873 article EN publisher-specific-oa IEEE Transactions on Computers 2021-03-29

The "one-size-fits-all" philosophy used for permanently allocating datapath resources in today's superscalar CPUs to maximize performance across a wide range of applications results the overcommitment general. To reduce power dissipation datapath, resource allocations can be dynamically adjusted based on demands applications. We propose mechanism dynamically, simultaneously, and independently adjust sizes issue queue (IQ), reorder buffer (ROB), load/store (LSQ) periodic sampling their...

10.1109/tc.2006.23 article EN IEEE Transactions on Computers 2006-02-01

In some of today's superscalar processors (e.g.the Pentium III), the result repositories are implemented as Reorder Buffer (ROB) slots. such designs, ROB is a complex multi-ported structure that occupies significant portion die area and dissipates non-trivial fraction total chip power, much 27% according to estimates. addition, an access typically takes more than one cycle, impacting IPC adversely.We propose low-complexity low-power design exploits fact bulk source operand values obtained...

10.1145/514191.514202 article EN 2002-06-22
Coming Soon ...