Robert P. Dick

ORCID: 0000-0001-5428-9530
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • Parallel Computing and Optimization Techniques
  • Embedded Systems Design Techniques
  • Low-power high-performance VLSI design
  • Advancements in Semiconductor Devices and Circuit Design
  • Real-Time Systems Scheduling
  • VLSI and FPGA Design Techniques
  • Green IT and Sustainability
  • Interconnection Networks and Systems
  • Semiconductor materials and devices
  • Air Quality Monitoring and Forecasting
  • Advanced Data Storage Technologies
  • Nutrition, Genetics, and Disease
  • Helicobacter pylori-related gastroenterology studies
  • Dietetics, Nutrition, and Education
  • Opportunistic and Delay-Tolerant Networks
  • VLSI and Analog Circuit Testing
  • Image and Video Quality Assessment
  • Indoor and Outdoor Localization Technologies
  • Energy Efficient Wireless Sensor Networks
  • Radiation Effects in Electronics
  • Domain Adaptation and Few-Shot Learning
  • Context-Aware Activity Recognition Systems
  • Distributed and Parallel Computing Systems
  • 3D IC and TSV technologies
  • Energy Harvesting in Wireless Networks

University of Michigan–Ann Arbor
2016-2025

Michigan United
2021-2024

Institute of Electrical and Electronics Engineers
2004-2023

IEEE Computer Society
2023

Regional Municipality of Niagara
2023

Northwestern University
2003-2010

Princeton University
1997-2008

Hy-Line (United States)
2008

Deleted Institution
2008

University of Notre Dame
1999

This paper describes PowerBooter, an automated power model construction technique that uses built-in battery voltage sensors and knowledge of discharge behavior to monitor consumption while explicitly controlling the management activity states individual components. It requires no external measurement equipment. We also describe PowerTutor, a component state introspection based tool generated by PowerBooter for online estimation. is intended make it quick easy application developers end...

10.1145/1878961.1878982 article EN 2010-10-24

We present a user-controllable, general-purpose, pseudorandom task graph generator called Task Graphs For Free (TGFF). TGFF creates problem instances for use in allocation and scheduling research. It has the ability to generate independent tasks as well sets which are composed of partially ordered graphs. A complete description instance is created, including attributes processors, communication resources, tasks, inter-task communication. The user may parametrically control correlations...

10.5555/278241.278309 article EN 1998-03-01

We present a user-controllable, general-purpose, pseudorandom task graph generator called Task Graphs For Free (TGFF). TGFF creates problem instances for use in allocation and scheduling research. It has the ability to generate independent tasks as well sets which are composed of partially ordered graphs. A complete description instance is created, including attributes processors, communication resources, tasks, inter-task communication. The user may parametrically control correlations...

10.1109/hsc.1998.666245 article EN 2002-11-27

We introduce a new technique for determining mobile phone's indoor location even when Wi-Fi infrastructure is unavailable or sparse. Our based on ambient sound fingerprint called the Acoustic Background Spectrum (ABS). An ABS serves well as room because it compact, easily computed, robust to transient sounds, and surprisingly distinctive. As with other fingerprint-based localization techniques, determined by measuring current then choosing "closest" from database. experiment involving 33...

10.1145/1999995.2000011 article EN 2011-06-28

Abstract. Advances in embedded systems and low-cost gas sensors are enabling a new wave of air quality monitoring tools. Our team has been engaged the development low-cost, wearable, monitors (M-Pods) using Arduino platform. These M-Pods house two types – commercially available metal oxide semiconductor (MOx) used to measure CO, O3, NO2, total VOCs, NDIR CO2. The MOx low cost show high sensitivity near ambient levels; however they display non-linear output signals have cross-sensitivity...

10.5194/amt-7-3325-2014 article EN cc-by Atmospheric measurement techniques 2014-10-07

In this paper, we present a hardware-software cosynthesis system, called MOGAC, that partitions and schedules embedded system specifications consisting of multiple periodic task graphs. MOGAC synthesizes real-time heterogeneous distributed architectures using an adaptive multiobjective genetic algorithm can escape local minima. Price power consumption are optimized while hard constraints met. places no limit on the number hardware or software processing elements in it synthesizes. Our...

10.1109/43.728914 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 1998-01-01

It has been the conventional assumption that, due to superlinear dependence of leakage power consumption on temperature, and widely varying on-chip temperature profiles, accurate estimation requires detailed knowledge thermal profile. Leakage depends integrated circuit (IC) profile design style. The authors show that linear models can be used permit highly-accurate over operating ranges in real ICs. then for typical IC packages cooling structures, a given amount heat introduced at any...

10.5555/1266366.1266701 article EN 2007-04-16

Article Free Access Share on TGFF: task graphs for free Authors: Robert P. Dick Department of Electrical Engineering, Princeton University, Princeton, New Jersey JerseyView Profile , David L. Rhodes and US Army CECOM/RDEC, AMSEL-RD-C2-SC-M, Fort Monmouth, Wayne Wolf Authors Info & Claims CODES/CASHE '98: Proceedings the 6th international workshop Hardware/software codesignMarch 1998 Pages 97–101Online:01 March 1998Publication History 229citation1,163DownloadsMetricsTotal Citations229Total...

10.1145/278241.278309 article EN 1998-01-01

Three-dimensional integration has the potential to improve communication latency and density of chip-level multiprocessors (CMPs). However, stacked high-power layers 3D CMPs increase importance difficulty thermal management. In this paper, we investigate CMP run-time management problem describe efficient techniques. This paper makes following main contributions: 1) It identifies describes critical concepts required for optimal management, namely methods by which heterogeneity in both...

10.1109/tcad.2008.925793 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2008-07-24

People spend the majority of their time indoors, and human indoor activities are strongly correlated with rooms they in. Room localization, which identifies room a person or mobile phone is in, provides powerful tool for characterizing helping address challenges in public health, productivity, building management, etc. Existing localization methods, however, require labor-intensive manual annotation individual rooms.

10.1145/2370216.2370282 article EN 2012-09-05

It has been the conventional assumption that, due to superlinear dependence of leakage power consumption on temperature, and widely varying on-chip temperature profiles, accurate estimation requires detailed knowledge thermal profile. Leakage depends integrated circuit (IC) profile design style. The authors show that linear models can be used permit highly-accurate over operating ranges in real ICs. then for typical IC packages cooling structures, a given amount heat introduced at any...

10.1109/date.2007.364517 article EN 2007-04-01

Microprocessor designers have been torn between tight constraints on the amount of on-chip cache memory and high latency off-chip memory, such as dynamic random access memory. Accessing generally takes an order magnitude more time than accessing cache, two orders executing instruction. Computer systems microarchitecture researchers proposed using hardware data compression units within hierarchies microprocessors in to improve performance, energy efficiency, functionality. However, most past...

10.1109/tvlsi.2009.2020989 article EN IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2009-09-04

Ever-increasing integrated circuit (IC) power densities and peak temperatures threaten reliability, performance, economical cooling. To address these challenges, thermal analysis must be embedded within IC synthesis. However, this requires accurate three-dimensional chip-package heat flow analysis. This has typically been based on numerical methods that are too computationally intensive for numerous repeated applications during synthesis or design. Thermal techniques both fast use in paper...

10.1109/tcad.2006.882589 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2007-01-01

Thermal effects in MPSoCs may cause the violation of timing constraints real-time systems. This paper presents a mixed integer linear programming based solution to this problem. Tasks are assigned and scheduled an MPSoC minimize peak temperature, subject constraints. The proposed approach outperforms existing methods, reducing temperature by up 24.66°C average 8.75°C when compared minimal-energy solutions. We also present heuristic for use on large problem instances. Steady-state thermal...

10.1145/1403375.1403446 article EN 2008-03-10

Increasing integrated circuit (IC) power densities and temperatures may hamper multiprocessor system-on-chip (MPSoC) use in hard real-time systems. This paper formalizes the temperature-aware MPSoC assignment scheduling problem presents an optimal phased steady-state mixed integer linear programming-based solution that considers impact of decisions on thermal profiles to directly minimize chip peak temperature. We also introduce a flexible heuristic framework for task permits system...

10.1109/tvlsi.2010.2058873 article EN IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2010-08-24

Most previous analysis of Twitter user behavior is focused on individual information cascades and the social followers graph. We instead study aggregate retweet graph with a focus quantitative descriptions. find that lifetime tweet distribution type-II discrete Weibull stemming from power law hazard function, rate distribution, although asymptotically law, exhibits lognormal cutoff over finite sample intervals, inter-tweet interval exponential cutoff. The small-world scale-free, like graph,...

10.1145/2700060 article EN ACM Transactions on Internet Technology 2015-03-12

People spend approximately 70% of their time indoors. Understanding the indoor environments is therefore important for a wide range emerging mobile personal and social applications. Knowledge floorplans often required by these However, are either unavailable or obtaining them requires slow, tedious, error-prone manual labor.

10.1145/2493432.2493470 article EN 2013-09-08

In the past, dynamic voltage and frequency scaling (DVFS) has been widely used for power energy optimization in embedded system design. As thermal issues become increasingly prominent, we propose design-time techniques systems. By carefully planning DVFS at design time, our proactively optimize profile, prevent run-time emergencies, minimize cooling costs, performance. To best of knowledge, this is first work addressing using DVFS. We formulate minimization application peak temperature...

10.1109/isqed.2007.158 article EN 2007-03-01

System integration and performance requirements are dramatically increasing the power consumptions densities of high-performance microprocessors. High consumption introduces challenges to various aspects microprocessor computer system design. It increases cost cooling packaging design, reduces reliability, complicates supply circuitry battery life. Researchers have recently dedicated intensive effort power-related design problems. Modeling is essential first step toward optimization. In this...

10.1109/mm.2007.58 article EN IEEE Micro 2007-05-01

Most people spend more than 90% of their time indoors; indoor air quality (IAQ) influences human health, safety, productivity, and comfort. This paper describes MAQS, a personalized mobile sensing system for IAQ monitoring. In contrast with existing stationary or outdoor systems, MAQS users carry portable, location tracking sensors that provide information. To improve accuracy energy efficiency, incorporates three novel techniques: (1) an accurate temporal n-gram augmented Bayesian room...

10.1145/2030112.2030150 article EN 2011-09-17

The ongoing move to chip multiprocessors (CMPs) permits greater sharing of last-level cache by processor cores but this aggravates the contention problem, potentially undermining performance improvements. Accurately modeling impact inter-process on and power consumption is required for optimized process assignment. However, techniques based exhaustive consideration process-to-processor mappings cycle-accurate simulation are inefficient or intractable CMPs, which often permit a large number...

10.1109/ispass.2010.5452065 article EN 2010-03-01

The emergence of power as a first-class design constraint has fueled the proposal growing number run-time optimizations. Many these optimizations trade-off saving opportunity for variable performance loss which depends on application characteristics and program phase. Furthermore, potential benefits are sometimes non-additive, it can be difficult to identify combinations apply. Trial-and-error approaches have been proposed adaptively tune processor. However, in chip multiprocessor, cost...

10.1145/1454115.1454141 article EN 2008-10-25

The reliability of multi-processor systems-on-chip (MPSoCs) is affected by several inter-dependent system-level and physical effects. Accurate fast modeling a primary challenge in the design optimization reliable MPSoCs. This paper presents framework that integrates device-, component-, models. contains modules for electromigration, time-dependent dielectric breakdown, stress migration, variable-amplitude thermal cycling. A new statistical distribution proposed accurate characterization...

10.1145/1878961.1879013 article EN 2010-10-24

Wearables are a leading category in the Internet of Things. Compared with mainstream mobile phones, wearables target one order magnitude form factor reduction, and offer potential providing ubiquitous, personalized services to end users. Aggressive reduction size imposes serious limits on battery capacity. equipped range sensors, such as accelerometers gyroscopes. Most economical sensors were developed for energy consumptions more appropriate phones than ultra-compact wearables. This article...

10.1109/aspdac.2015.7058994 article EN 2015-01-01

Thermal issues are a primary concern in the three-dimensional (3D) integrated circuit (IC) design. Temperature, area, and wire length must be simultaneously optimized during 3D floorplanning, significantly increasing optimization complexity. Most existing floorplanners use combinatorial stochastic techniques, hampering performance scalability when used for floorplanning. In this work, we propose evaluate scalable, temperature-aware, force-directed fioorplanner called 3D-STAF. Force-directed...

10.5555/1326073.1326196 article EN 2007-11-05
Coming Soon ...