Jinian Bian

ORCID: 0000-0002-4322-1503
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • Embedded Systems Design Techniques
  • VLSI and FPGA Design Techniques
  • VLSI and Analog Circuit Testing
  • Parallel Computing and Optimization Techniques
  • Low-power high-performance VLSI design
  • Interconnection Networks and Systems
  • Formal Methods in Verification
  • 3D IC and TSV technologies
  • Software Testing and Debugging Techniques
  • Model-Driven Software Engineering Techniques
  • Radiation Effects in Electronics
  • Integrated Circuits and Semiconductor Failure Analysis
  • Advancements in Photolithography Techniques
  • Physical Unclonable Functions (PUFs) and Hardware Security
  • Engineering and Test Systems
  • Real-time simulation and control systems
  • Constraint Satisfaction and Optimization
  • Real-Time Systems Scheduling
  • Semiconductor materials and devices
  • Petri Nets in System Modeling
  • Distributed and Parallel Computing Systems
  • CCD and CMOS Imaging Sensors
  • Multimedia Communication and Technology
  • Manufacturing Process and Optimization
  • Fault Detection and Control Systems

Institute of Electrical and Electronics Engineers
2022-2024

Strategic Education Research Partnership
2023-2024

Community Initiatives
2021-2023

University of Maryland, College Park
2023

Sungkyunkwan University
2023

APT Electronics (China)
2023

European Organization for Nuclear Research
2023

Tampere University
2023

X-Fab (Germany)
2023

University of Houston
2023

Task scheduling and core mapping have a significant impact on the overall performance of network chip (NOC). In this paper, unified task algorithm called UNISM is proposed for different NOC architectures including regular mesh, irregular mesh custom networks. First, model combining introduced using mixed integer linear programming (MILP). Then, novel graph to consider irregularity estimate communication energy latency, since number hops not accurate enough To make MILP-based scalable,...

10.1109/tvlsi.2011.2159280 article EN IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2011-07-13

Three-dimensional (3-D) IC physical design problems are usually of higher complexity, with a greatly enlarged solution space due to multiple device structure. In this paper, new 3-D floorplanning algorithm is proposed for wirelength optimization. Our main contributions and results can be summarized as follows. First, hierarchical flow inter-layer partitioning method. The blocks partitioned into different layers before floorplanning. A simulated annealing (SA) engine used partition the...

10.1109/tcsi.2006.883857 article EN IEEE Transactions on Circuits and Systems I Fundamental Theory and Applications 2006-12-01

Incorporating thermal vias into 3D ICs is a promising way to reduce circuit temperature by lowering down the resistances between device layers. In this paper, we integrate dynamic via planning floorplanning process. Our and approaches are implemented in two-stage approach. Before floorplanning, temperature-constrained vertical formulated as convex programming problem. Based on analytical solution, blocks assigned different layers solving sequence of knapsack problems. Then SA engine used...

10.1145/1123008.1123048 article EN 2006-04-09

In this paper, we investigate thermal via (T-via) planning during three-dimensional (3-D) floorplanning. First, consider the temperature constrained T-via (TVP) problem on a given 3-D floorplan. Second, integrate dynamic TVP into floorplanning process. Our main contribution and results can be summarized as follows. We solve by solving sequence of simplified interlayer intralayer subproblems. Each subproblem is formulated convex programming derive nearly optimal solution for detailed...

10.1109/tcad.2006.885831 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2007-03-28

Fixed-outline floorplanning, which enables hierarchical design, is considered more and important nowadays. In this paper, a novel SA-based Floorplanner with the Optimal Area utilization named SAFFOA introduced to improve total wirelength. The basic idea build solve group of four quadratic equations in variables iteratively, can handle fixed-outline constraint any aspect ratio. A new topological representation called Ordered Quadtree then custom-made for facilitate its integration into SA...

10.5555/1509456.1509473 article EN International Conference on Computer Aided Design 2008-11-10

In this paper we propose a novel binding mechanism that can protect FPGA IP from being cloned, tampered, or misused; and facilitate the pay-per-use licensing to limit IP's execution specific devices only. mechanism, vendors will provide each enrolled device with Physical Unclonable Function (PUF) be deployed securely during fabrication process. The core vendor embed an augmented Finite State Machine (FSM) into original FSM structure of hardware (HW-IP) react on PUF response given challenge....

10.1109/fpl.2013.6645555 article EN 2013-09-01

New three-dimensional (3D) floorplanning and thermal via planning algorithms are proposed for optimization in two-stacked die integration. Our contributions include (1) a two-stage design flow 3D floorplanning, which scales down the enlarged solution space due to multidevice layer structure; (2) an efficient thermal-driven algorithm with power distribution constraints; (3) considering congestion minimization. Experiments results show that our approach is nine times faster better quality...

10.1145/1142155.1142159 article EN ACM Transactions on Design Automation of Electronic Systems 2006-04-01

Dynamic Partial Reconfiguration (DPR) on FPGAs has attracted significant research interests in recent years since it provides benefits such as reduced area and flexible functionality. However, due to the lack of supporting synthesis tools current DPR design flow, leveraging these requires specific designer expertise with laborious manual effort. Considering complicated concurrency relations among functions, is challenging properly select Modules (PR Modules) partition them into groups so...

10.1145/2429384.2429491 article EN 2012-11-05

Power gating is one of the most effective techniques for low power design because it reduces both dynamic and static simultaneously. This paper proposes a circuit architecture to implement in sequential circuits based on finite state machine (FSM) decomposition, which implemented by partition transition graph (STG). The FSM partitioned into two or more sub-machines, only active time, supply other sub-machines can be cut off save energy. Since adjustment voltage may not finish instantly,...

10.1109/icasic.2005.1611463 article EN 2006-04-06

Constrained random stimulus generation plays significant roles in hardware verification nowadays, and the quality of generated stimuli is key to efficiency test process. In this work, we present a linear dynamic method guide by SAT solvers. A splitting simplified Min-Distance-Sum evaluation an XOR sampling strategy are integrated self-adjusting framework. The evenness split groups evaluated find out some uneven parts. Then, partial solutions for parts constraints other inputs added into get...

10.5555/1509633.1509806 article EN Asia and South Pacific Design Automation Conference 2009-01-19

A hierarchical CDFG model designed as an intermediate representation for hardware/software (HW/SW) codesign is presented in this paper. new concept of transport node, which represents the communication resources system, proposed model. Hierarchical feature can be straightly obtained through extending definition nodes, allowing them to nest sub-CDFG recursively. Then it demonstrated how build basic control constructs branches and loops. Explaining a short introduction translation process,...

10.1109/icccas.2002.1179048 article EN 2003-08-27

This paper presents an efficient algorithm to solve the satisfiability (SAT) problem for RTL designs using a complete hybrid branch-and-bound strategy with conflict-driven learning. The main framework is extended Davis-Putnam-Logemann-Loveland procedure (DPLL) which unified combining Boolean logic and arithmetic operations. A two-literal-watching scheme interval reasoning based on predicates are used as powerful constraint propagation strategies. Conflict-based learning also implemented...

10.1145/1278480.1278629 article EN Proceedings - ACM IEEE Design Automation Conference 2007-01-01

High temperature adversely impacts on reliability, performance, and leakage power of ICs. In behavioral synthesis, both resource usage allocation binding influence the final thermal profile. Previous thermal-aware syntheses only focused binding, ignoring allocation. This paper proposes synthesis with According to density feedbacks from simulation, we allocate number resources under area constraint. Our flow effectively controls peak creates even densities among “different” “same” types....

10.5555/1509633.1509654 article EN Asia and South Pacific Design Automation Conference 2009-01-19

Constrained random stimulus generation plays significant roles in hardware verification nowadays, and the quality of generated stimuli is key to efficiency test process. In this work, we present a linear dynamic method guide by SAT solvers. A splitting simplified Min-Distance-Sum evaluation an XOR sampling strategy are integrated self-adjusting framework. The evenness split groups evaluated find out some uneven parts. Then, partial solutions for parts constraints other inputs added into get...

10.1109/aspdac.2009.4796573 article EN Asia and South Pacific Design Automation Conference 2009-01-01

To further exploit the potential of reconfigurable computing, fine-grain, super massive parallel processing SAT solver over hardware accelerator is proposed in this paper as SMPP. By analyzing traditional solver, we a novel way to partition original problem into software part and part. Software uses semi-confliction guided backtrack extract equivalent fixed scale sub-problem sequence. Partition scheme SMPP exploited stage effect inference engine modern solver. Hardware tiny cells handle...

10.1109/ipdpsw.2012.57 article EN 2012-05-01

As a global interconnection, bus is critical for chip performance in deep submicron technology. Reducing routing vias will facilitate the lithography and give higher yield also performance. In this paper, we present floorplan revising method to minimize number of reducible with controllable loss on area wirelength. Therefore, it easy make proper tradeoff between via reduction loss. Experiments show that our reaches 96.2% 93.5% vias, which close 100% runs fast. Besides, friendly all...

10.1145/1785481.1785486 article EN 2010-05-16

This paper presents a low frequency architecture for driving parallel cold cathode fluorescent lamps (CCFLs) in large screen LCD TV backlighting applications. Key to the is proposed capacitive coupling approach AC lamp ignition. The system consists of single high voltage converter, an ignition circuit, current regulation devices and primary controller. topology capable arbitrary number with independent accurate regulation, while maintaining efficiency achieving significant size, weight, cost...

10.1109/apec.2005.1453127 article EN Twentieth Annual IEEE Applied Power Electronics Conference and Exposition, 2005. APEC 2005. 2005-06-28

As the dimension of integrated circuits proceeds into deep sub-micron level, interconnect delay is playing a dominant role in total circuit. The result high-level synthesis often violated by interconnect-delay physical design phase, especially timing aspect. Reallocation and Rescheduling after floor-plan can be very helpful to optimization design. A force-balance based driven algorithm for reallocation rescheduling (FIDER) presented this paper. wire specially attended algorithm. In...

10.1109/icasic.2003.1277526 article EN 2003-01-01

To improve the observability during post-silicon validation, it is key to select limited trace signals effectively for data acquisition. This paper proposes an automated signal selection algorithm, which uses pruning-based strategy reduce exploration space. First, restoration range covered each candidate signals. Second, constraints are generated based on conjunctive normal form (CNF) avoid conflict. Finally candidates selected through enumeration. The experimental results indicate that...

10.1587/transfun.e95.a.1030 article EN IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences 2012-01-01

Control and Data Flow Graph (CDFG) is a universal description of program behavior, which widely used in the co-design software hardware. The derivation CDFG has been done mostly by manually or automatically analyzing corresponding source code, makes this process time-consuming, error-prone incomplete. In paper, we proposed an automated design flow based on runtime instrumentation to generate Enhanced (ECDFG) with additional information. Though approach debugging analyze accurate information,...

10.1109/cscwd.2013.6580945 article EN 2013-06-01

With the development of VLSI, embedded system is growing sharply. A new methodology, Co-design, appeared to meet needs designing. System designers require good EDA tools, which support Co-design methodology. Debug an important part design process, so we improved a debug subsystem fit Co-design. Different from traditional software was designed reflect real and comprehensive status system. To achieve this goal, add little circuit original The will control collect information that designer...

10.1109/icasic.2001.982678 article EN 2002-11-13

Article Free Access Share on IBAW: an implication-tree based alternative-wiring logic transformation algorithm Authors: Wangning Long Dept. Computer Sci. & Tech., Tsinghua University, Beijing, 100084, China ChinaView Profile , Yu-Liang Wu Eng., The Chinese University of HK, Shatin, NT, Hong kong kongView Jinian Bian Authors Info Claims ASP-DAC '00: Proceedings the 2000 Asia and South Pacific Design Automation ConferenceJanuary Pages 415–422https://doi.org/10.1145/368434.368720Online:28...

10.1145/368434.368720 article EN 2000-01-01

We propose the use of mutation-based error injection to guide generation high-quality diagnostic test patterns. A software-based fault localization technique is employed derive a ranked candidate list suspect statements. Experimental results for set Verilog designs demonstrate that finer resolution can be achieved by patterns generated proposed method.

10.1109/test.2010.5699307 article EN 2010-11-01

Dual-V th design is an effective leakage power reduction technique at behavioral synthesis level. It allows designers to replace modules on non-critical path with the high-V implementation. However, existing constructive algorithms fail find optimal solution due complexity of problem and do not consider on-chip temperature variation. In this paper, we propose a two-stage thermal-dependent minimization algorithm by using dual-V library during synthesis. first stage, quantitatively evaluate...

10.5555/1870926.1871229 article EN Design, Automation, and Test in Europe 2010-03-08

High temperature adversely impacts on reliability, performance, and leakage power of ICs. In behavioral synthesis, both resource usage allocation binding influence the final thermal profile. Previous thermal-aware syntheses only focused binding, ignoring allocation. This paper proposes synthesis with According to density feedbacks from simulation, we allocate number resources under area constraint. Our flow effectively controls peak creates even densities among "different" "same" types....

10.1109/aspdac.2009.4796446 article EN Asia and South Pacific Design Automation Conference 2009-01-01
Coming Soon ...