- Nanofabrication and Lithography Techniques
- Advancements in Photolithography Techniques
- Advanced Surface Polishing Techniques
- Force Microscopy Techniques and Applications
- Microfluidic and Capillary Electrophoresis Applications
- Semiconductor materials and devices
- Nanowire Synthesis and Applications
- Integrated Circuits and Semiconductor Failure Analysis
- Electron and X-Ray Spectroscopy Techniques
- Ion-surface interactions and analysis
- Advancements in Semiconductor Devices and Circuit Design
- Nanomaterials and Printing Technologies
- Additive Manufacturing and 3D Printing Technologies
- Innovative Microfluidic and Catalytic Techniques Innovation
- Metal and Thin Film Mechanics
- Nonlinear Optical Materials Studies
- Synthesis and properties of polymers
- Diamond and Carbon-based Materials Research
- Advanced Sensor and Energy Harvesting Materials
- Optical Coatings and Gratings
- Molecular Junctions and Nanostructures
- Advanced Electron Microscopy Techniques and Applications
- Energy Harvesting in Wireless Networks
- Wireless Body Area Networks
- Wireless Power Transfer Systems
National Institute of Advanced Industrial Science and Technology
2013-2025
Yokohama Municipal Citizen's Hospital
2019
Centre for Research in Engineering Surface Technology
2010-2015
Japan Science and Technology Agency
2008-2015
University of Hyogo
2013
Osaka Prefecture University
2013
Tohoku University
2013
Tokyo University of Science
2002-2010
Advanced Semiconductor Engineering (Taiwan)
2005
Mirai Hospital
2004
We studied UV nanoimprint in air and the elimination of bubble defects using pentafluoropropane, which has a vapor pressure 0.15 MPa at 25 °C. Bubble are unavoidable when is carried out air. Pillars fabricated thin resin film by contain since bubbles not eliminated squeezing only small amount dissolved resin. By introducing pentafluoropropane under such experimental condition, gas condensation was separately investigated. The phenomenon completed within few seconds an imprint 0.5...
Nanoimprint lithography is an attractive technology for LSIs era below 40-nm critical dimension from the viewpoints of high-throughput and low-cost equipment. In order to avoid a pattern placement error due thermal expansion in conventional imprint process, we attempted replicate mold onto liquid polymer, which was solidified using ultra-violet (UV) light irradiation at room temperature. The polymer used here supplied by TEIJIN SEIKI Co., termed TSR-820. It spin coated on slide glass produce...
We have investigated short-channel effects of ultrathin (4-18-nm thick) silicon-on-insulator (SOI) n-channel MOSFET's in the 40-135 nm gate length regime. It is experimentally and systematically found that threshold voltage (V/sub th/) roll-off subthreshold slope (S-slope) are highly suppressed as channel SOI thickness reduced. The experimental 40-nm length, 4-nm thick n-MOSFET shows S-slope only 75 mV /spl Delta/V/sub th/ 0.07 V compared to value case long gate-length (135 nm) device. Based...
A compact nanoimprint lithography (NIL) system using the driving power of a stepping motor has been developed. Compared to conventional NIL with hydraulic press, there are some additional features such as compactness and low cost. We propose use spin on glass (SOG) instead PMMA avoid thermal expansion demonstrate SOG patterns 200 nm linewidths at room temperature replications system. The were transferred gold metal liftoff silicon substrate by reactive ion etching.
In order to reduce the strong adhesive force between mold and photocurable resin in UV-curable imprint lithography, release coating materials of quartz easy were examined. Furthermore, measurement methods properties established using a tensile testing machine. The surface-treated slide-glass (SG) did not adhere resins forces markedly reduced. For materials, for KP-801M was smaller than that Aquaphobe CF. case resins, PAK01 TSR820. According result durability, CF showed good durability...
Microfocused heavy ion beams obtained from liquid metal sources of gallium, indium, and tin are bombarded onto silicon gallium arsenide substrates, the amorphous regions created selectively dissolved in suitable etchants (ion bombardment enhanced etching). The area exposure doses required to etch depth calculated projected range incident ions region 5×10−6∼1×10−5 C/cm2 at accelerating voltages 30∼50 kV,and dose dependencies etched depths show rapid increases specified regions. Widths line...
Nanoimprint should be carried out in an ordinary environment from the standpoint of cost-performance. However, bubble defects arise when process is done air and problem can become worse with large patterns thin resin films. UV-nanoimprint using droplets reduces capture by expelling during spreading and, some cases, achieves free dissolution resin. When authors simulated smooth a droplet wafer warping, occurred on mold plateaus but hardly reached into recesses where significant amount was...
Abstract Nanoimprint lithography (NIL) is promising for the processing of dual damascene structures fabricated in back-end-of-line layers, and initial development began with a simple single-level process to evaluate NIL’s suitability. In this work, test element group (TEG) pattern 70 nm half-pitch was selected, copper (Cu) filling chemical-mechanical polishing were performed after NIL transfer. The results compared those obtained from same TEG layout processes but using ArF immersion instead...
We studied generation mechanism of bubble defects in air using molds having many recessed square patterns and photo-curable liquid polymer with a viscosity 64 mPa s. The films an initial thickness 620–2000 nm were pressed imprint pressure 0.1–0.5 MPa cured. found that trapped mold recesses is partially evacuated when flows out during the pressing. Bubble decrease increasing outflow fewer are generated for smaller patterns. exceeding 1 µm produces sufficient to evacuate almost all bubbles....
Electron beam (EB) lithography using polymethylmethacrylate (PMMA) and oxygen gas reactive ion etching (RIE) were used to fabricate fine patterns in a diamond mould. To prevent charge-up during EB lithography, thin conductive polymer was spin-coated over the PMMA resist, yielding dented line 2 μ m wide 270 nm deep. The mould pressed into on silicon substrate heated 130, 150 170ºC at 43.6, 65.4 87.2 MPa. All transferred convex wide. Imprinted pattern depth increased with rising temperature...
Gallium ion probes of 50 keV obtained from a liquid metal source are recorded on silicon and gallium arsenide substrates by using the method bombardment enhanced etching. The minimum linewidth in line exposures is about 20 nm for both which corresponds to lateral spread implanted ions. For specified value lens acceptance half-angle, dose varies as square function linewidth, means that distribution current density focused beam Gaussian. From theoretical evaluation full widths at half-maximum...
Abstract In nanoimprint lithography (NIL), a residual layer inherently exists under the NIL resist features and must be removed in later etching steps. The subsequent process, known as breakthrough etching, leads to variations device pattern sizes disrupts process integration because of loss. It was reported previously that thickness (RLT) should less than half feature height (FH) for high-precision etching. this work, we develop one-pass using an atomic-scale cycle stepped technique passes...
Abstract By electron beam lithography (EBL) process combined with self-aligned double patterning (SADP) process, SiN hard masks (HMs) were successfully fabricated on 300 mm wafer for the variety of gate lengths around 2x nm. The is deposited by low pressure chemical vapor deposition (LP-CVD) in fine trenches bottom width 18 nm tetraethyl orthosilicate (TEOS) layer etched EB resist mask drawn. After selective CMP TEOS followed etching, 25nm-wide and 57nm-high HM was a Si wafer, which will be...
Room-temperature nanoimprint lithography (RT-NIL) technology has been developed to overcome critical dimensions and pattern placement error due thermal expansion in the conventional (NIL) process. We propose RT-NIL using hydrogen silsequioxane (HSQ) instead of PMMA used NIL, demonstrate HSQ replicated patterns with 90 nm hole diameter 50 linewidth realized by room-temperature replications. performed step-and-repeat replications on a 1.5 in. wafer evaluated uniformity imprinted patterns.
In the course of solving bubble defect problem UV Nanoimprint, it was found that filling mold cavities during UV-nanoimprint can be completed within 5 s if pentafluoropropane is used as ambience. this work, by employing a real-time monitoring system, shrinkage in recess for nanoimprint air and observed. Then, elimination times various flows were measured condition rapid bubbles determined. The consumption considered cost roughly estimated. By measuring sizes at intervals 0.1 s, time...
Resist filling process in UV-nanoimprint lithography is investigated by numerical simulation. A resist droplet on a substrate pressed template and the flows laterally with constant velocity. The processes into patterned cavity are simulated various contact angles of to resist. Two kinds defect modes observed. typical induced branching flow at edge when angle between large. other one nonfilling for low viscosity substrate, where along does not pattern.
Release force reduction is necessary for reliable UV nanoimprint. The effect of inclined mold detachment and pentafluoropropane as an ambient gas was investigated using a UV-nanoimprint stepper with orientation control introduction system. release realized by diverting the directing parallel to wafer commonly used after exchange. A environment generated in UV-nanoimprinting space system within stepper. showed comparison at this experiment. However, only modestly beneficial reduction. In...
In this study we investigated the effects of ambient gas on characteristics UV-curable resin in UV nanoimprint process by comparing pattern transfer fidelity and residual layer thicknesses samples carried out air pentafluoropropane gas. We found that shrinkage induced exposure was markedly affected environment. The reached 22% pentafluoropropane, while it only 3% air. Also, thickness were investigated. It using much thinner than could be expected from alone. believe thinning is caused not...
Imprint lithography is a candidate for high-resolution, high-throughput using low-cost equipment. In particular, imprinting photo-induced solidification very attractive because it eliminates heat-up, cool-down time and avoids thermal expansion problems inherent in conventional imprinting. We demonstrate the replication of uniform 100 nm line space (L/S) patterns over 5 mm×5 mm area at time, 60 L/S pattern 90-nm-wide with an aspect ratio 2 by solidification. studied removal base layer O2...
We designed a novel sample stage named sample-on-flexible-thruster (SOFT) stage, which has unique compliant contact mechanism working on the side, and installed it in full-function photo-nanoimprinter. The pressing uniformity of an imprint area using SOFT was preserved with tolerance 1 mrad parallelism between mold wafer. Such requires no adjustment once is preset to appropriate orientation. Pressing improved soft pad, supports wafer backside, stage. built-in flexible thruster controls...
Rapid bubble elimination using pentafuoropropane (CFH 2 CH CF 3 , HFC-245fa, CAS No. 460-3-1) condensing gas is one of the most promising methods to realize ultrahigh-speed ultraviolet nanoimprint lithography (UV-NIL). In this study, we investigated shrinkage behaviors and time bubbles for different cavity sizes resist thicknesses by employing a UV-NIL stepper with real-time monitoring system. As predicted, smaller size resulted in faster filling. Unlike prediction from an analysis model...
Conductive wires were fabricated by electron-beam-induced deposition (EBID) using WF 6 gas. It was difficult to fabricate highly conductive with good reproducibility unless samples cleaned before EBID. Contamination appears reduce the conductivity of wires. O 2 plasma cleaning EBID seems contamination growth; however, it is not effective for regions in vicinity Au patterns. We found that combining annealing at 300°C and cleaning, could be relatively such regions. A linear relation between...