Yuyang Ye

ORCID: 0000-0002-0726-0468
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • VLSI and Analog Circuit Testing
  • Low-power high-performance VLSI design
  • Advancements in Semiconductor Devices and Circuit Design
  • VLSI and FPGA Design Techniques
  • Ferroelectric and Negative Capacitance Devices
  • Network Traffic and Congestion Control
  • Experimental Learning in Engineering
  • Network Packet Processing and Optimization
  • Integrated Circuits and Semiconductor Failure Analysis
  • Advanced Memory and Neural Computing
  • Embedded Systems Design Techniques
  • Semiconductor materials and devices
  • Parallel Computing and Optimization Techniques
  • Software Testing and Debugging Techniques
  • Smart Grid and Power Systems
  • Open Source Software Innovations
  • Matrix Theory and Algorithms
  • Advanced Optical Network Technologies
  • Advanced Graph Neural Networks
  • Text and Document Classification Technologies
  • Machine Learning and Algorithms
  • Neural Networks and Applications
  • Model Reduction and Neural Networks
  • Particle Detector Development and Performance
  • Distributed and Parallel Computing Systems

Chinese University of Hong Kong
2025

Southeast University
2022-2024

Beijing Normal University
2024

With diminishing margins in advanced technology nodes, the performance of static timing analysis (STA) is a serious concern, including accuracy and runtime. The STA can generally be divided into graph-based (GBA) path-based (PBA). For GBA, results are always pessimistic, leading to overdesign during design optimization. PBA, pessimism reduced via propagating real path-specific slews with cost severe runtime overheads relative GBA. In this work, we present fast accurate predictor post-layout...

10.1145/3566097.3567904 article EN Proceedings of the 28th Asia and South Pacific Design Automation Conference 2023-01-16

10.1109/tcad.2025.3547806 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2025-01-01

Accurate wire timing estimation has become a bottleneck in optimization since it needs long turn-around time using sign-off timer. The gate can be calculated accurately lookup tables cell libraries. In comparison, the accuracy and efficiency of calculation for complex RC nets are extremely hard to trade-off. limited number paths opens door graph learning method estimation. this work, we present fast accurate estimator based on novel architecture, namely GNNTrans. It generate path...

10.23919/date56975.2023.10137233 article EN Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015 2023-04-01

With transistors scaling down, aging effects become increasingly significant in circuit design. Thus, the aging-aware cell timing model is necessary for evaluating aging-induced delay degradation and their impact on performance. However, tradeoff between accuracy efficiency becomes a bottleneck traditional methods. In this brief, we propose fast accurate via graph learning. The information of multi-typed devices different arcs can be embedded by heterogeneous attention networks (H-GAT)...

10.1109/tcsii.2023.3298917 article EN IEEE Transactions on Circuits & Systems II Express Briefs 2023-07-26

10.1109/asp-dac58780.2024.10473989 article EN 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) 2024-01-22

In advanced technology nodes, aging effects like negative and positive bias temperature instability (NBTI PBTI) become increasingly significant, making timing closure optimization more challenging. Unfortunately, conventional critical path (CP) selection tools used in reliability-aware design flow cannot accurately identify CPs under different conditions. To address this issue, we propose an aging-aware CP comprising two parts: 1) cell detection 2) criticality (PC) computation. We employ...

10.1109/tcad.2023.3276944 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2023-05-17

As the transistor technology nodes shrink into nano-scales, timing guardbands caused by aging effects and process variations continue to increase. Approximate computing can eliminate aging-and-variation-induced without sacrificing design performance. It apply local approximate changes (LACs) automatically in circuits reduce critical path delay. However, efficiently achieving optimization under error distance constraints is still tricky. This work proposes an automated timing-driven mapping...

10.1109/tcad.2024.3379016 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2024-03-20

10.1109/tcad.2024.3488577 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2024-01-01

Gate sizing plays an important role in timing optimization after physical design. Existing machine learning-based gate works cannot optimize on multiple paths simultaneously and neglect the constraint layouts. They cause sub-optimal solutions low-efficiency issues when compared with commercial tools. In this work, we propose a learning-driven physically-aware framework to performance large-scale circuits efficiently. our gradient descent optimization-based for obtaining accurate gradients,...

10.48550/arxiv.2403.08193 preprint EN arXiv (Cornell University) 2024-03-12

10.1109/asp-dac58780.2024.10473937 article EN 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) 2024-01-22

10.1109/asp-dac58780.2024.10473881 article EN 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) 2024-01-22

10.1109/tvlsi.2024.3439355 article EN IEEE Transactions on Very Large Scale Integration (VLSI) Systems 2024-01-01

The advanced multi-core technology enables parallel computing to speed up Automatic Test Pattern Generation (ATPG). main challenge is solve an increasing number of hard-to-solve faults effectively. In this paper, we develop efficient system for the ATPG program, i.e., FPGNN-ATPG, which consisted two parts: graph-neural-networks-based (GNN-based) fault classification and fault-driven deterministic test pattern generator (DTPG). end-to-end GNN-based classifier can predict types with superior...

10.1145/3583781.3590250 article EN Proceedings of the Great Lakes Symposium on VLSI 2022 2023-05-31

Time Constant Equilibration Reduction (TICER) is a famous RC reduction method. Traditional TICER performs the floating-point operations repeatedly in time constants calculation, which time-consuming to deal with large-scale interconnect. This paper converts node elimination speed-up problem into classification issue by abstracting network as graph structure. The deep anomaly detection method adopted address data imbalance among nodes. proposed GNN-based validated on benchmark circuits size...

10.1109/icsict55466.2022.9963409 article EN 2022 IEEE 16th International Conference on Solid-State & Integrated Circuit Technology (ICSICT) 2022-10-25
Coming Soon ...