Vidya A. Chhabria

ORCID: 0000-0002-3273-0724
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • VLSI and FPGA Design Techniques
  • 3D IC and TSV technologies
  • Low-power high-performance VLSI design
  • VLSI and Analog Circuit Testing
  • Semiconductor materials and devices
  • Electronic Packaging and Soldering Technologies
  • Copper Interconnects and Reliability
  • Green IT and Sustainability
  • Electromagnetic Compatibility and Noise Suppression
  • Advancements in Photolithography Techniques
  • Integrated Circuits and Semiconductor Failure Analysis
  • Ergonomics and Human Factors
  • Natural Language Processing Techniques
  • Industrial Vision Systems and Defect Detection
  • Manufacturing Process and Optimization
  • Computer Graphics and Visualization Techniques
  • Model-Driven Software Engineering Techniques
  • Embedded Systems Design Techniques
  • BIM and Construction Integration
  • Advanced Battery Technologies Research
  • Advancements in Semiconductor Devices and Circuit Design
  • Semiconductor Lasers and Optical Devices
  • Environmental Impact and Sustainability
  • Machine Fault Diagnosis Techniques
  • Radiation Effects in Electronics

Arizona State University
2023-2025

University of Minnesota
2019-2022

University of Minnesota System
2019-2021

We describe the planned Alpha release of OpenROAD, an open-source end-to-end silicon compiler. OpenROAD will help realize goal "democratization hardware design", by reducing cost, expertise, schedule and risk barriers that confront system designers today. The development open-source, self-driving design tools is in itself a "moon shot" with numerous technical cultural challenges. flow incorporates compatible set span logic synthesis, floorplanning, placement, clock tree global routing...

10.1145/3316781.3326334 article EN 2019-05-23

Computationally expensive temperature and power grid analyses are required during the design cycle to guide IC design. This paper employs encoder-decoder based generative (EDGe) networks map these fast accurate image-to-image sequence-to-sequence translation tasks. The network takes a as input outputs or IR drop map. We propose two networks: (i) ThermEDGe: static dynamic full-chip estimator (ii) IREDGe: predictor on power, distribution, pad distribution patterns. models design-independent...

10.1145/3394885.3431583 article EN Proceedings of the 28th Asia and South Pacific Design Automation Conference 2021-01-18

Decades of progress in energy-efficient and low-power design have successfully reduced the operational carbon footprint semiconductor industry. However, this has led to increased embodied emissions, arising from design, manufacturing, packaging. While existing research developed tools analyze for traditional monolithic systems, these do not apply near-mainstream heterogeneous integration (HI) technologies. HI systems offer significant potential sustainable computing by minimizing emissions...

10.1109/hpca57654.2024.00058 article EN 2024-03-02

Designing an optimal power delivery network (PDN) is a time-intensive task that involves many iterations. This paper proposes methodology employs library of predesigned, stitchable templates, and uses machine learning (ML) to rapidly build PDN with region-wise uniform pitches based on these templates. Our applicable at both the floorplan placement stages physical implementation. (i) At stage, we synthesize optimized early estimates current congestion, using simple multilayer perceptron...

10.1109/asp-dac47756.2020.9045303 article EN 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) 2020-01-01

The evolution of AI algorithms has not only revolutionized many application domains, but also posed tremendous challenges on the hardware platform. Advanced packaging technology today, such as 2.5D and 3D interconnection, provides a promising solution to meet ever-increasing demands bandwidth, data movement, system scale in computing. This work presents HISIM, modeling benchmarking tool for chiplet-based heterogeneous integration. HISIM emphasizes hierarchical interconnection that connects...

10.1109/asp-dac58780.2024.10473875 article EN 2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC) 2024-01-22

Vectored IR drop analysis is a critical step in chip signoff that checks the power integrity of an on-chip delivery network.Due to prohibitive runtimes dynamic analysis, large number test patterns must be whittled down small subset worst-case vectors.Unlike traditional slow heuristic method selects few vectors with incomplete coverage, MAVIREC leverages machine learning techniques-3D convolutions and regression-like layers-for fast recommending larger exercise scenarios.In under 30 minutes,...

10.23919/date51398.2021.9473914 article EN Design, Automation & Test in Europe Conference & Exhibition (DATE), 2015 2021-02-01

Modern transistors such as FinFETs and gate-all-around FETs (GAAFETs) suffer from excessive heat confinement due to their small size three-dimensional geometries, with limited paths the thermal ambient. This results in device self-heating, which can reduce speed, increase leakage, accelerate aging. paper characterizes temperature for both 7nm FinFET 5nm GAAFET sub-structures analyzes its impact on circuit performance (delay power) reliability (bias instability, hot carrier injection,...

10.1109/isqed.2019.8697786 article EN 2019-03-01

Traditional methods that test for electromigration (EM) failure in multisegment interconnects, over the lifespan of an IC, are based on use Blech criterion, followed by Black's equation. Such analyze each segment independently, but well known to be inaccurate due stress buildup multiple segments. This paper introduces new concept boundary reflections flow ascribes a physical (wave-like) interpretation transient behavior finite line. can provide framework deriving analytical expressions EM...

10.1109/iccad51958.2021.9643570 article EN 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2021-11-01

Due to the unavailability of routing information in design stages prior detailed (DR), tasks timing prediction and optimization pose major challenges. Inaccurate wastes effort, hurts circuit performance, may lead failure. This work focuses on after clock tree synthesis placement legalization, which is earliest opportunity time optimize a “complete” netlist. The article first documents that having “oracle knowledge” final post-DR parasitics enables post-global (GR) produce improved outcomes....

10.1145/3626959 article EN ACM Transactions on Design Automation of Electronic Systems 2023-10-10

Evaluating CAD solutions to physical implementation problems has been extremely challenging due the unavailability of modern benchmarks in public domain. This work aims address this challenge by proposing a process-portable machine learning (ML)-based methodology for synthesizing synthetic power delivery network (PDN) that obfuscate intellectual property information. In particular, proposed approach leverages generative adversarial networks (GAN) and transfer techniques create realistic PDN...

10.1109/iccad51958.2021.9643566 article EN 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2021-11-01

An innovative ML infrastructure named CircuitOps is developed to streamline dataset generation and model inference for various generative AI (GAI)-based circuit optimization tasks. Addressing the challenges of absence a shared Intermediate Representation (IR), steep EDA learning curves, AI-unfriendly data structures, we propose solutions that empower efficient handling. Our contributions encompass following: (1) labeled property graphs (LPGs) as IR flexible netlist representation parallel...

10.1109/iccad57390.2023.10323611 article EN 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2023-10-28

Traditional methodologies for analyzing electromigration (EM) in VLSI circuits first filter immortal wires using Blech's criterion, and then perform detailed EM analysis on the remaining wires. However, criterion was designed two-terminal does not extend to general structures. This paper demonstrates a first-principles-based solution technique determining steady-state stress at all nodes of interconnect structure, develops an immortality test whose complexity is linear number edges...

10.1109/dac18074.2021.9586127 article EN 2021-11-08

Power delivery network (PDN) analysis is a critical aspect of the design cycle to ensure power grid meets current demands chip. Static IR drop simulation, performed as part PDN analysis, crucial estimation worstcase voltage (IR) chip which in turn determines frequency and functionality. Algorithmically, static simulation amounts solving large system linear equations with billions variables computationally very expensive significantly runtimes. This contest aims at leveraging machine learning...

10.1109/iccad57390.2023.10323767 article EN 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 2023-10-28

Timing prediction and optimization are challenging in design stages prior to detailed routing (DR) due the unavailability of information. Inaccurate timing wastes effort, hurts circuit performance, may lead failure. This work focuses on after clock tree synthesis placement legalization, which is earliest opportunity time optimize a "complete" netlist. The paper first documents that having "oracle knowledge" final post-DR parasitics enables post-global (GR) produce improved outcomes. Machine...

10.1145/3551901.3556475 article EN 2022-09-06

Timing prediction and optimization are challenging in design stages prior to detailed routing (DR) due the unavailability of information. Inaccurate timing wastes effort, hurts circuit performance, may lead failure. This work focuses on after clock tree synthesis placement legalization, which is earliest opportunity time optimize a "complete" netlist. The paper first documents that having "oracle knowledge" final post-DR parasitics enables post-global (GR) produce improved outcomes. Machine...

10.1109/mlcad55463.2022.9900099 article EN 2022-09-12

Power delivery network (PDN) design is a nontrivial, time-intensive, and iterative task. Correct PDN must consider power bumps, currents, blockages, signal congestion distribution patterns. This work proposes machine learning-based methodology that employs set of predefined templates. At the floorplan stage, coarse estimates current, congestion, macro/blockages, C4 bump distributions are used to synthesize grid for early design. placement incrementally refined based on more accurate...

10.1109/tcad.2021.3132554 article EN IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 2021-12-03

Engineering change orders (ECOs) in late stages make minimal design fixes to recover from timing shifts due excessive IR drops. This paper integrates IR-drop-aware analysis and ECO optimization using reinforcement learning (RL). The method operates after physical power grid synthesis, rectifies IR-drop-induced degradation through gate sizing. It incorporates the Lagrangian relaxation (LR) technique into a novel RL framework, which trains relational graph convolutional network (R-GCN) agent...

10.48550/arxiv.2402.07781 preprint EN arXiv (Cornell University) 2024-02-12

Large language models (LLMs) serve as powerful tools for design, providing capabilities both task automation and design assistance. Recent advancements have shown tremendous potential facilitating LLM integration into the chip process; however, many of these works rely on data that are not publicly available and/or permissively licensed use in training distribution. In this paper, we present a solution aimed at bridging gap by introducing an open-source dataset tailored OpenROAD, widely...

10.48550/arxiv.2405.06676 preprint EN arXiv (Cornell University) 2024-05-04

Traditional electronic design automation (EDA) techniques struggle to fulfill the stringent efficiency and quick turnaround demands of complex integrated systems. Machine learning (ML) strategies for EDA ("ML EDA") are pivotal in transforming address these challenges. However, they encounter significant obstacles due inadequate infrastructure, ranging from datasets software interfaces. This paper demonstrates a infrastructure ML built on two key technologies: (i) OpenROAD's Python APIs, (ii)...

10.1109/vts60656.2024.10538770 article EN 2024-04-22
Coming Soon ...