Gian Lorusso

ORCID: 0000-0003-3498-5082
Publications
Citations
Views
---
Saved
---
About
Contact & Profiles
Research Areas
  • Advancements in Photolithography Techniques
  • Electron and X-Ray Spectroscopy Techniques
  • Integrated Circuits and Semiconductor Failure Analysis
  • Semiconductor materials and devices
  • Industrial Vision Systems and Defect Detection
  • Semiconductor Quantum Structures and Devices
  • Surface Roughness and Optical Measurements
  • Graphene research and applications
  • Fullerene Chemistry and Applications
  • Advancements in Semiconductor Devices and Circuit Design
  • Optical Coatings and Gratings
  • Surface and Thin Film Phenomena
  • Quantum and electron transport phenomena
  • Nuclear Physics and Applications
  • Force Microscopy Techniques and Applications
  • Chalcogenide Semiconductor Thin Films
  • Copper Interconnects and Reliability
  • Terahertz technology and applications
  • Advanced Surface Polishing Techniques
  • Photonic Crystals and Applications
  • Boron Compounds in Chemistry
  • Image Processing Techniques and Applications
  • Advanced Semiconductor Detectors and Materials
  • Semiconductor materials and interfaces
  • Quantum Dots Synthesis And Properties

IMEC
2015-2024

Imec the Netherlands
2007-2018

KLA (United States)
1999-2011

KU Leuven
2008-2009

University of Bari Aldo Moro
1992-2002

Istituto Nazionale per la Fisica della Materia
1996-2000

University of Wisconsin–Madison
1998-1999

Lawrence Berkeley National Laboratory
1997-1999

École Polytechnique Fédérale de Lausanne
1996-1998

École Polytechnique
1996-1997

As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing attention of experts in field: line width roughness (LWR) specifications are expected to be <2 nm near term, and drop below 1 just a few years. This daunting challenge engineers throughout industry trying meet these targets using every means at their disposal. However, although current efforts surely admirable, we believe they not enough. The fact that...

10.1117/1.jmm.17.4.041009 article EN Journal of Micro/Nanolithography MEMS and MOEMS 2018-09-12

We discuss the scheme and test performances of this recently commissioned system in its final configuration. The tests show that improvements electron optics with respect to other instruments same class made it possible reach lateral resolutions 50 nm range. They also demonstrate rather good spectromicroscopy spectroscopy performances, reliability flexibility operation.

10.1063/1.1148899 article EN Review of Scientific Instruments 1998-05-01

Line width roughness remains a critical issue when moving towards smaller feature sizes in EUV lithography. We present stochastic resist modeling approach to accurately predict LWR and CD simultaneously. The model simulates the effects due shot noise secondary electron during exposure, interaction amongst finite number of chemical molecules (inhibitor, PAG, quencher) PEB. calibration used imec baseline (Shinetsu SEVR140) with over 250 measured CDs corresponding line data. validation was...

10.1117/12.917804 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2012-03-15

CD-SEM images inherently contain a significant level of noise. This is because limited number frames are used for averaging, which critical to ensure throughput and minimize resist shrinkage. noise SEM may lead false defect detections erroneous metrology. Therefore, reducing in utmost importance. Both conventional filtering techniques recent most discriminative deep-learning based denoising algorithms restricted with certain limitations. The first enables the risk loss information content...

10.1117/12.2584803 article EN Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV 2021-02-19

Background: The chemically amplified resist (CAR) has been the workhorse of lithography for past few decades. During evolution projection to extreme ultraviolet (EUVL), a continuous reduction in feature size is observed. Also, film thickness (FT) required prevent large aspect ratios that lead pattern collapse. A further FT, into an ultrathin regime (<30 nm FT), expected when advancing high NA EUVL. This brings along associated challenges with (1) critical dimension scanning electron...

10.1117/1.jmm.21.2.021207 article EN cc-by Journal of Micro/Nanopatterning Materials and Metrology 2022-04-05

As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing attention of experts in field: Line Width Roughness (LWR) specifications are expected to be less than 2nm near term, and drop below 1nm just a few years. This daunting challenge engineers throughout industry trying meet these targets using every means at their disposal. However, although current efforts surely admirable, we believe they not enough. The fact that...

10.1117/12.2294617 article EN 2018-03-19

One of the many constrains High Numerical Aperture Extreme Ultraviolet Lithography (High NA EUVL) is related to resist thickness. In fact, one consequences moving from current 0.33NA 0.55NA (high NA) Depth Focus (DOF) reduction. addition, as feature lines shrink down 8nm half pitch, it essential limit aspect ratio avoid pattern collapse. The direct consequence such a situation that thickness 30nm, usually used for 32nm pitch dense line/space (LS), will not be suitable 16nm where target...

10.1117/12.2614046 article EN Metrology, Inspection, and Process Control XXXVI 2022-05-26

The extreme ultraviolet lithography (EUVL) program at IMEC is aimed to tackle many unsolved critical issues of EUV as the technology moves towards production, by focusing specifically on tool, resist, and mask projects. Here, authors describe structure EUVL status alpha demo tool. In particular, they discuss their proposed strategies for flare mitigation shadowing effect correction. They demonstrate how it possible implement an effective rule-based strategy. addition, propose a relatively...

10.1116/1.2781516 article EN Journal of Vacuum Science & Technology B Microelectronics and Nanometer Structures Processing Measurement and Phenomena 2007-11-01

IMEC has started an EUV lithography research program based on ASMLs full field scanner, the Alpha Demo Tool (ADT). Currently, ADT is in final phase of installation. The focuses three main projects: resists, reticles and assessment performance. intent this to help improve establish necessary mask resist infrastructure. In paper, status progress reviewed. preparation for a process ADT, interference been used track Steady development seen, especially terms resolution, as some materials are now...

10.1117/12.710798 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2007-03-16

EUV lithography is one of the hot candidates for 22nm node. A well known phenomenon in impact non-telecentricity and mask topography on printing performance. Due to oblique illumination mask, layout, printed features are shifted biased wafer with respect their target dimension up several nanometers. This effect inherent imaging systems. In order maintain CDU, overlay registration requirements, these effects need be compensated as part lithographic manufacturing process. Conventional...

10.1117/12.772640 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2008-03-14

The EUV program at imec aims identifying the critical issues to prepare lithography for insertion into high volume IC production. started in 2006 with 0.25 NA ASML Alpha Demo Tool and has since then evolved around several focus areas. 1) scanner performance, reliability monitoring, 2) definition verification of OPC strategies generic specific imaging effects 3) reticle defectivity, focusing on multi-layer defects, handling cleaning, 4) resist screening, identification materials that not only...

10.2494/photopolymer.26.587 article EN Journal of Photopolymer Science and Technology 2013-01-01

In the last year, continuous efforts on development of extreme ultraviolet (EUV) lithography has allowed to push lithographic performance EUV photoresists ASML NXE:3300 full field exposure tool. Today imec N7 node (equivalent foundry N5) is first scaling at which industry will likely insert into production bring a reduction in processing steps therefore reducing total cost ownership [1], increasing yield and time ramp. However, high-volume-manufacturing (HVM) requirement have cost-effective...

10.1117/12.2299504 article EN 2018-03-19

Depth of focus reduction due to the increasing numerical aperture (NA) for High NA Extreme Ultraviolet (EUV) lithography and decreasing feature sizes latest process nodes necessitate smaller resist thicknesses. Reduced thickness degrades scanning electron microscope (SEM) image contrast significantly a lower signal-to-noise ratio (SNR). It is possible improve SNR by changing number frames averaging or using higher resolution SEM images. However, these techniques limit high-throughput defect...

10.1117/12.2661138 article EN 2023-04-28

The critical role of flare in extreme ultraviolet (EUV) lithography is well known. In this work, the implementation a robust metrology discussed, and proposed approach qualified both terms precision accuracy. measurements are compared to full-chip simulations using simplified single fractal point-spread function (PSF), parameters analytical PSF optimized by comparing simulation output experimental results. After map calibration, matching experiment range from 4 12% quite good, clearly...

10.1117/1.3238515 article EN Journal of Micro/Nanolithography MEMS and MOEMS 2009-10-01

Extreme ultraviolet lithography (EUVL) is the leading candidate for 22nm half-pitch device manufacturing. IMEC has a fully integrated 300mm EUVL process line incorporating an Alpha Demo Tool (ADT) from ASML, aimed to understand issues related introduction of EUV technology in high-volume This study experimentally investigates flare and shadowing correction strategies. Experimental characterization ADT reported, as well experimental rules variation correction. With respect shadowing,...

10.1116/1.3013297 article EN Journal of Vacuum Science & Technology B Microelectronics and Nanometer Structures Processing Measurement and Phenomena 2008-11-01

Extreme ultraviolet lithography (EUVL) sources emit a broad spectrum of wavelengths ranging from EUV to DUV and beyond. If the deep (DUV) reaches wafer it will affect imaging performance by exposing photoresist. Hence is critical determine amount out band (OoB) present in EUVL tool, as well its effect on printed features wafer. In this study we investigate OoB EUVL. A model developed order be able quantify DUV/EUV ratio at level all required input parameters are estimated range 140 400nm,...

10.1117/12.879381 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2011-03-17

Line edge roughness (LER) and line width (LWR) are analyzed during pattern transfer in a self-aligned quadruple patterning (SAQP) process. This process leads to final pitch of 22.5nm, relevant for N7/N5 technologies. Measurements performed by CD SEM (Critical Dimension Scanning Electron Microscope) using different settings terms averaging, field view, pixel size compared with reference metrology planar TEM three-Dimensional Atomic Force Microscope (3D AFM) each step order investigate the...

10.1117/12.2218863 article EN Proceedings of SPIE, the International Society for Optical Engineering/Proceedings of SPIE 2016-03-18

Abstract Logic devices based on two-dimensional (2D) channel materials require highly crystalline monolayers. Despite various laboratory-scale metrology techniques being intensively used to characterize 2D small coupons, the development of in-line and routine characterization material monolayers grown 300 mm wafers remains in its early stages. In this work, we evaluate combine different metrologies thickness morphology tungsten disulfide (WS 2 ) at wafer level. By combining complementary...

10.35848/1347-4065/ad26bc article EN other-oa Japanese Journal of Applied Physics 2024-02-06

Extreme Ultraviolet lithography with a numerical aperture of 0.55 will bring an improved optical contrast for contact hole layers and via layers. This should lead to reduction in the number stochastic defects these To quantify this reduction, adequate inspection methodology is required that can detect, addition standard missing merging defects, holes are only partially opened. In work we demonstrate technique uses backscattered electrons detect defects. first phase beam-settings top-down...

10.1117/12.3015844 article EN 2024-02-23

Si/SiGe heterostructures are gaining traction as a starting template in applications such Gate-All-Around Field-Effect Transistor (GAAFET), complementary FET (CFET), and 3-dimensional dynamic random access memory (3D-DRAM), where the SiGe alloy plays role of sacrificial material for channel release. However, formation crystalline defects (e.g. crosshatch) epitaxially grown layers critical part determining overall device performance. As such, it is key to be able control defectivity level...

10.1117/12.3011279 article EN 2024-04-10

OPC (optical proximity correction) is a well-known and widely used RET (resolution enhancement technique) in optical lithography, which main purpose improving pattern fidelity process window. relies on CD-SEM (critical dimension scanning electron microscope) images as source of information for EPE (edge placement error) measurement, input the modeling flow. However, pitch scales, stricter specifications, reduced metrology error budget, increasing complexities, create challenges traditional...

10.1117/12.3010898 article EN 2024-04-10
Coming Soon ...