- Advancements in Photolithography Techniques
- Particle Detector Development and Performance
- Integrated Circuits and Semiconductor Failure Analysis
- CCD and CMOS Imaging Sensors
- Electron and X-Ray Spectroscopy Techniques
- Radiation Detection and Scintillator Technologies
- Advanced Surface Polishing Techniques
- Optical Coatings and Gratings
- Semiconductor materials and devices
- 3D IC and TSV technologies
- Industrial Vision Systems and Defect Detection
- Plasma Diagnostics and Applications
- Advanced MEMS and NEMS Technologies
- Nuclear Physics and Applications
- Particle physics theoretical and experimental studies
- Nanofabrication and Lithography Techniques
- Advanced Semiconductor Detectors and Materials
- Electronic Packaging and Soldering Technologies
- Microfluidic and Bio-sensing Technologies
- Electrowetting and Microfluidic Technologies
- Non-Destructive Testing Techniques
- Gas Dynamics and Kinetic Theory
- Calibration and Measurement Techniques
- Copper Interconnects and Reliability
- Adhesion, Friction, and Surface Interactions
IMEC
2017-2025
University of Twente
2006-2010
National Institute for Subatomic Physics
2009-2010
<para xmlns:mml="http://www.w3.org/1998/Math/MathML" xmlns:xlink="http://www.w3.org/1999/xlink"> An unpackaged microchip is used as the sensing element in a miniaturized gaseous proportional chamber. This letter reports on fabrication and performance of complete radiation imaging detector based this principle. Our schemes are wafer-scale chip-scale postprocessing. Compared to hybrid-assembled detectors, our microsystem shows superior alignment precision energy resolution, offers capability...
The imec N7 (iN7) platform has been developed to evaluate EUV patterning of advanced logic BEOL layers. Its design is based on a 42 nm first-level metal (M1) pitch, and 32 pitch for the subsequent M2 layer. With these pitches, iN7 node an 'aggressive' full-scaled N7, corresponding IDM or foundry N5. Even in 1D style, single exposure 16 half-pitch layer very challenging lithography, because its tight tip-to-tip configurations. Therefore, industry considering hybrid use ArFi-based SAQP...
This paper summarizes findings on the iN7 platform (foundry N5 equivalent) for single exposure EUV (SE EUV) of M1 and M2 BEOL layers. Logic structures within these layers have been measured after litho etch, variability was characterized both with conventional CD-SEM measurements as well Hitachi contouring method. After analyzing patterning layers, impact potential interconnect reliability studied by using MonteCarlo process emulation simulations to determine if current litho/etch...
In the last year, continuous efforts on development of extreme ultraviolet (EUV) lithography has allowed to push lithographic performance EUV photoresists ASML NXE:3300 full field exposure tool. Today imec N7 node (equivalent foundry N5) is first scaling at which industry will likely insert into production bring a reduction in processing steps therefore reducing total cost ownership [1], increasing yield and time ramp. However, high-volume-manufacturing (HVM) requirement have cost-effective...
We show, in simulation and by wafer exposures, how to improve an EUV Single Exposure Metal direct print at NA 0.33. Based on a fundamental understanding of Mask 3D effects, we show design pupil conjunction with induced aberrations cure the M3D phase effects. For L/S through pitch, increase NILS/exposure latitude ~10%, reduce best focus range two thirds, Bossung tilts. Simultaneously, tip-to-tip (T2T) CD 1-4nm constant exposure LCDU. In EUV, effects lead modulation diffracted orders. This...
The semiconductor scaling roadmap shows the continuous node to push Moore's law down next generations. In that context, foundry N5 requires 32nm metal pitch interconnects for advanced logic Back- End of Line (BEoL). 193immersion usage now self-aligned and/or multiple patterning technique combinations enable such critical dimension. On other hand, EUV insertion investigation is still a challenge but, related process flow complexity, presents some clear motivations. Imec has already evaluated...
While the semiconductor industry has reached high-volume manufacturing of 7 nm technology node (N7), patterning processes for future nodes N5, N3 and even below, are being investigated developed by research centers. To achieve critical dimensions gratings these nodes, we require multipatterning approaches, such as self-aligned double/quadruple/octuple (SADP/SAQP/SAOP) multiple litho-etch (LE) patterning, in combination with 193i lithography EUV lithography. These need to be subsequently cut...
We have used large-field-of-view voltage contrast metrology to determine the design rules on a pitch 28 nm single-exposure extreme ultra violet dual damascene process, and study use case in which two parameters, metal tip-to-tip critical dimension via-to-line placement, interact nontrivially yield determination. By designing proper test structures, it is possible different failure mechanisms for given process integration patterning cliffs rules.
In this work we have fabricated 28nm-pitch dual damascene structures using EUV single exposure for both via and metal. Ruthenium metallization has been used the via-trench fill final are characterized with Voltage Contrast metrology. By properly designing test programmed shifts between metal tip-to-tip variations it is possible to determine design rules needed obtain high yield in process before electrical measurements.
BackgroundTo keep up with the logic area scaling, back-end-of-line (BEOL) structures are reduced to smaller pitches, requiring faster and reliable metrology defect detection solution.AimMetrology detailed inspection at early phases of process optimization.ApproachSingle exposure (SE) 0.33NA extreme ultraviolet (EUV) lithography was used along a bright field mask for patterning in metal damascene flow BEOL structures. We also dual voltage contrast (VC) study.ResultsScatterometry technique,...
The functioning of a high-resistive, hydrogenated amorphous Silicon layer as protection against discharges for Micoomegas-based pixel readout gaseous detectors, has been investigated. Chips, protected with 3 mum thick layer, still broke, but 20 proven to be adequate. Images from discharge events disclose their geometrical parameters, enabling further optimize the protection.