- Integrated Circuits and Semiconductor Failure Analysis
- Semiconductor materials and devices
- Nanowire Synthesis and Applications
- Photonic and Optical Devices
- Advancements in Semiconductor Devices and Circuit Design
- Electron and X-Ray Spectroscopy Techniques
- Thin-Film Transistor Technologies
- Ultrasonics and Acoustic Wave Propagation
- Advanced Surface Polishing Techniques
- Silicon Nanostructures and Photoluminescence
- Laser Material Processing Techniques
- Silicon and Solar Cell Technologies
- Photonic Crystals and Applications
- Optical Coatings and Gratings
- Advancements in Photolithography Techniques
- 3D IC and TSV technologies
- Plasmonic and Surface Plasmon Research
- Force Microscopy Techniques and Applications
- X-ray Spectroscopy and Fluorescence Analysis
- Near-Field Optical Microscopy
- Thermography and Photoacoustic Techniques
- Non-Destructive Testing Techniques
- Surface Roughness and Optical Measurements
- Nanofabrication and Lithography Techniques
- Diamond and Carbon-based Materials Research
IMEC
2014-2025
KU Leuven
2010-2020
Abstract After a short description of the evolution metal-oxide-semiconductor device architectures and corresponding requirements on epitaxial growth processes, manuscript describes material properties complicated Si/SiGe multi-layer stacks used for complementary field effect transistor (CFET) devices. They contain two different Ge concentrations have been grown using conventional process gases. A relatively high temperature is to obtain acceptable Si SiGe rates. Still island has suppressed...
2D materials hold significant potential for enhancing semiconductor device performance. However, their integration necessitates the establishment of a robust metrology that is both accurate and fast, enabling comprehensive understanding precise control growth processes. Scanning electron microscopy (SEM) ticks all boxes to be promising technique characterization due its sensitivity monolayers (ML) high measurement throughput. Nevertheless, automating analysis essential avoid slow data...
In semiconductor processing and metrology, Raman spectroscopy is a valuable characterization tool because of its nondestructive nature, high throughput, versatility in terms parameter sensitivity. However, with the miniaturization devices, inherent diffraction limit optical technique becomes roadblock. order to re-enable strengths at nanometer scale, we exploit polarization-induced enhancement effects that focus excitation light into region interest, without need for external probes or...
One of the many constrains High Numerical Aperture Extreme Ultraviolet Lithography (High NA EUVL) is related to resist thickness. In fact, one consequences moving from current 0.33NA 0.55NA (high NA) Depth Focus (DOF) reduction. addition, as feature lines shrink down 8nm half pitch, it essential limit aspect ratio avoid pattern collapse. The direct consequence such a situation that thickness 30nm, usually used for 32nm pitch dense line/space (LS), will not be suitable 16nm where target...
EUV scatterometry can retrieve geometrical information from nanoscale grating structures through elastic scattering of radiation and the evaluation diffraction intensities. Its geometry energy range place it in between grazing incidence x-ray (GISAXS) optical critical dimension (OCD). PTB recently commissioned a new setup for soft region that address sample areas below 100 × μm size by using comparably steep, angle up to 30°. At same time, full cone exit angles 30° be detected such also...
The processing of gate-all-around (GAA) Si transistors requires several isolated and vertically stacked nanometer-thick sheets or wires. For this purpose, the sacrificial SiGe layers a SiGe/Si superlattice are etched selectively laterally. Controlling quantity material, i.e., so-called cavity depth, is critical for optimal device performance. Unfortunately, dimension can only be measured by time-consuming cross-sectional transmission electron microscopy (TEM), which results in limited...
Abstract Logic devices based on two-dimensional (2D) channel materials require highly crystalline monolayers. Despite various laboratory-scale metrology techniques being intensively used to characterize 2D small coupons, the development of in-line and routine characterization material monolayers grown 300 mm wafers remains in its early stages. In this work, we evaluate combine different metrologies thickness morphology tungsten disulfide (WS 2 ) at wafer level. By combining complementary...
Si/SiGe heterostructures are gaining traction as a starting template in applications such Gate-All-Around Field-Effect Transistor (GAAFET), complementary FET (CFET), and 3-dimensional dynamic random access memory (3D-DRAM), where the SiGe alloy plays role of sacrificial material for channel release. However, formation crystalline defects (e.g. crosshatch) epitaxially grown layers critical part determining overall device performance. As such, it is key to be able control defectivity level...
We report on production compatible low temperature (≤320 °C) selective epitaxial growth schemes for boron doped Ge0.99Sn0.01 and Ge in source/drain areas of FinFET gate-all-around (GAA) strained-Ge pMOS transistors. Active B concentrations are as high 3.2 × 1020 cm−3 2.2 Ge, respectively. The Ge:B is based a cyclic deposition etch approach using Cl2 an etchant, while the Ge0.99Sn0.01:B nature. Low Ti/p+ Ge(Sn):B contact resistivities 3.6 10−9 Ω cm2 (Ge0.99Sn0.01) 5.5 (Ge:B) have been...
Optical metrology is ubiquitous, but image-based methods cannot resolve features of dimensions much smaller than the wavelength. However, it has recently been demonstrated that light can be nanofocused into subwavelength semiconducting lines by setting incident polarization along direction these lines. This Letter extends previous studies to systems with two perpendicular gratings, as found e.g. after replacement gate processing gate-all-around (GAA) field-effect transistors (FETs). We show...
Wafer-to-wafer hybrid bonding is a key technology for achieving high-density three-dimensional interconnections in semiconductor devices. This directly bonds Cu pads formed on the surface of two wafers, where height pad compared to SiCN surrounding have be within few nm. We developed method measure with sub-nm precision by using top-view scanning electron microscope image. The proposed based physical principle that difference backscattered (BSE) signals opposing detectors dependent slope. It...
A key element of semiconductor fabrication is the precise deposition thin films. Amongst other aspects, quality interfaces between different materials plays a crucial role for success further process steps. We here present soft x-ray reflectometry measurements on stacked film samples silicon and silicon-germanium in various concentrations as they are produced complementary field-effect transistor (CFET) applications. Synchrotron-based, angle- energy-resolved broadband reflectance data sets...
After a short description of the evolution metal-oxide-semiconductor (MOS) device architectures and corresponding requirements on epitaxial growth processes, manuscript describes material properties complicated Si/SiGe multi-layer stacks used for complementary field effect transistor (CFET) devices. They contain two different Ge concentrations have been grown using conventional process gases. A relatively high temperature is to obtain acceptable Si SiGe rates. Still island has suppressed up...
Raman spectroscopy is uniquely sensitive to crucial material properties like stress and composition, but inherently diffraction‐limited, impeding its application potential in nanostructured devices. Under correct polarization conditions, the response from a periodic array of fins dramatically enhanced inside semiconductor material, re‐enabling fast non‐destructive optical characterization deep‐subwavelength patterns. In this paper, it shown that effect not limited system where was first...
The continued importance of strain engineering in semiconductor technology demands fast and reliable stress metrology that is non-destructive process line-compatible. Raman spectroscopy meets these requirements but the diffraction limit prevents its application current future nodes. We show nano-focused scattering overcomes limitations can be combined with oil-immersion to obtain quantitative anisotropic measurements. demonstrate accurate characterization strained Ge fin field-effect...
Wafer bonding is a key technology for many advanced chip technologies. For 3D integration, stacking schemes and high-density packaging put stringent requirement on the reliability. Bonding quality can be characterized by absence of voids at interface, as delimit complexity subsequent processing integration steps. Therefore, in-line non-destructive inspection techniques void detection are crucial early-stage full process integration. In this work, we perform comprehensive study We fabricate...
As CMOS scaling proceeds with sub-10 nm nodes, new architectures and materials are implemented to continue increasing performances at constant footprint. Strained stacked channels 3D-integrated devices have for instance been introduced this purpose. A common requirement these technologies is a strict limitation in thermal budgets preserve the integrity of already present on chips. We our latest developments low-temperature epitaxial growth processes, ranging from channel source/drain...
In this paper, we study experimentally and theoretically the light coupling into periodic arrays of nanoscale semiconducting fins among others to explain its enhancement when increasing fin pitch, i.e., spatial periodicity. With Raman spectroscopy on Si arrays, show in pitch. We prove that is due excitation an electromagnetic mode, which confined guided inside fins, more efficiently excited as pitch increases. Finally, propose a quantitative analytical model describing physical mechanism...
The next generation of tunable photonics requires highly conductive and light inert interconnects that enable fast switching phase, amplitude, polarization modulators without reducing their efficiency. As such, metallic electrodes should be avoided, as they introduce significant parasitic losses. Transparent oxides, on the other hand, offer reduced absorption due to high bandgap good conductivity relatively carrier concentration. Here, we present a metamaterial enables in contact with active...
We have investigated the morphology of a nanotip under femtosecond laser pulse illumination and high electric field. show that both symmetry local radius tip change with direction polarization as against axis. The experiments were performed on very same GaN by laser-assisted atom probe tomography electron tomography. This allowed an accurate assessment features following order evaporation single atoms from surface. A emission sites was observed when angle between axis linearly polarized...
A spectroscopic ellipsometry (SE) model was developed and implemented to study substrate loss in shallow implanted silicon (i-Si) substrates following a photoresist strip. The is based on different optical properties of dioxide i-Si layers used characterize relevant layers, , before after plasma treatment. An increase decrease the layer were observed exposure. Changes are result two phenomena, oxidation heating-induced regrowth layer, while changes thickness represent direct measure due...
Nanosheet Field-Effect Transistors (FETs) are candidates to replace today's finFETs as they offer both an enhanced electrostatic control and a reduced footprint. The processing of these devices involves the selective lateral etching, also called cavity etch, SiGe layers vertical Si/SiGe superlattice, isolate future vertically stacked Si channels. In this work, we evaluate capabilities various conventional Critical Dimension (CD) alternative spectroscopic techniques for challenging...
Pitch scaling of interconnects is required for 3D system integration with the industry shifting to bumpless bonding technology. However, hybrid metal/dielectric requires tight process control planarity after chemical mechanical polishing (CMP) avoid voids. Due its sub-angstrom resolution, atomic force microscopy (AFM) typically used assess nano-topography but conventional systems suffer from increased noise floor at high scanning speeds making it unsuitable high-volume manufacturing (HVM)....